For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Patent number: 7964495
    Abstract: A method of manufacturing a CMOS image sensor manufacturing includes forming a plurality of metal pads over a semiconductor substrate; electrically connecting the metal pads to lower conductive film patterns of multi-layer metal wires using metal contacts; depositing an insulation film over the metal pads; patterning the insulation film to expose at least a portion of the upper surface of the metal pads; and removing impurities from an uppermost surface of the metal pads.
    Type: Grant
    Filed: October 9, 2007
    Date of Patent: June 21, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Han-Choon Lee
  • Publication number: 20110143547
    Abstract: The present invention provides a chemical solution capable of completely removing the residues after a dry process in a short period of time, wherein the chemical solution causes less damage to low-k films than before, and prevents cracking and roughness of the Cu surface by inhibiting Cu corrosion so as to leave, rather than remove, a Cu thin film, which is formed on the Cu surface as a result of damage during a dry process. Specifically, the present invention relates to a residue-removing solution for removing residues after a dry process, comprising an amine salt of a monocarboxylic acid and/or a salt of a polycarboxylic acid that forms a 7- or more-membered ring chelate with copper, and water, the residue-removing solution comprising (A) or (B) described below: (A) an aqueous solution comprising (1) a Brønsted acid whose pKa is at least 3 at 25° C.
    Type: Application
    Filed: August 4, 2009
    Publication date: June 16, 2011
    Inventor: Shingo Nakamura
  • Patent number: 7959738
    Abstract: A method of removing a photoresist may include permeating supercritical carbon dioxide into the photoresist on a substrate having a conductive structure including a metal. The photoresist permeating the supercritical carbon dioxide may be easily removable. The photoresist permeating the supercritical carbon dioxide may be removed using a photoresist cleaning solution from the substrate. The photoresist cleaning solution may include an alkanolamine solution of about 8 percent by weight to about 20 percent by weight, a polar organic solution of about 25 percent by weight to about 40 percent by weight, a reducing agent of about 0.5 percent by weight to about 3 percent by weight with the remainder being water. The photoresist may be easily removed without damaging the conductive structure in a plasma process.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: June 14, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Hyuk Kang, Hyo-San Lee, Dong-Gyun Han, Chang-Ki Hong, Kun-Tack Lee
  • Patent number: 7959739
    Abstract: A cleaning solution and method for removing submicron particles from the surface and/or the bevel of an electronic substrate such as a hard disk media substrate, or an imprint mold used in the manufacturing of the hard disk media or a read/write head assembly part. The cleaning solution comprises a polycarboxylate polymer or an ethoxylated polyamine. The method comprises the step of contacting a surface of the substrate with a cleaning solution comprised of a polycarboxylate polymer or an ethoxylated polyamine. Additional optional steps in the method include applying acoustic energy to the cleaning solution and/or rinsing the surface with a rinsing solution with or without the application of acoustic energy to the rinsing solution.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: June 14, 2011
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 7960328
    Abstract: A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture.
    Type: Grant
    Filed: November 9, 2006
    Date of Patent: June 14, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Pamela M. Visintin, Ping Jiang, Michael B. Korzenski, Mackenzie King
  • Publication number: 20110136717
    Abstract: The present invention is a method of cleaning to removal residue in semiconductor manufacturing processing, comprising contacting a surface to be cleaned with an aqueous formulation having a polymer selected from the group consisting of acrylamido-methyl-propane sulfonate) polymers, acrylic acid-2-acrylamido-2-methylpropane sulfonic acid copolymer and mixtures thereof and a quaternary ammonium hydroxide having greater than 4 carbon atoms or choline hydroxide with a non-acetylinic surfactant. The present invention is also a post-CMP cleaning formulation having the components set forth in the method above.
    Type: Application
    Filed: June 10, 2010
    Publication date: June 9, 2011
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Dnyanesh Chandrakant Tamboli, Madhukar Bhaskara Rao, Gautam Banerjee, Keith Randolph Fabregas
  • Publication number: 20110132410
    Abstract: Affords GaxIn1-xN substrates onto which high-quality epitaxial films can be stably grown, and cleaning methods for manufacturing the GaxIn1-xN substrates. GaxIn1-xN substrate in which the number of particles of not less than 0.2 ?m particle size present on the GaxIn1-xN substrate surface is 20 or fewer, given that the GaxIn1-xN substrate diameter is 2 inches. Furthermore, a GaxIn1-xN substrate in which, in a photoelectron spectrum along the surface by X-ray photoelectron spectroscopy at a take-off angle of 10°, the ratio between the peak areas of the C1s electron and N1s electron (C 1s electron peak area/N 1s electron peak area) is not greater than 3.
    Type: Application
    Filed: June 15, 2007
    Publication date: June 9, 2011
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Tomoki Uemura, Hideaki Nakahata
  • Patent number: 7951764
    Abstract: Back end photoresist strippers and cleaning compositions of this invention are provided by amino acid-free, non-aqueous cleaning compositions that are essentially non-corrosive toward copper as well as aluminum and that comprise at least one polar organic solvent, at least one hydroxylated organic amine, and at least one corrosion inhibitor compound with multiple hydroxyl functional groups that is a compound of the formula: T1-[(CR1R2)m—(CR3R4)n]p—(CR5R6)q-T2 where at least one of R1 and R2 OH and if one of R1 and R2 is not OH, it is selected from H, alkyl or alkoxy, m is a whole integer of 1 or greater, R3 and R4 are selected from H, alkyl or alkoxy, n is 0 or a greater whole positive integer, p is a whole integer of 1 or greater; at least one of R5 and R6 is OH and if one of R5 and R6 is not OH, it is selected from H, alkyl or alkoxy, q is a whole integer of 1 or greater; T1 and T2 are selected from H, alkyl, hydroxyalkyl, polyhydroxyalkyl, aminoalkyl, carbonylalkyl or amide groups or T1 and T2 may be co
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: May 31, 2011
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Seiji Inaoka
  • Patent number: 7951765
    Abstract: The present invention relates to a photoresist stripper composition for removing the photoresist in the manufacturing process of the semiconductor device. More particularly, the photoresist stripper composition comprises 3-20 wt % of hydrazine hydrate or amine compound; 20˜40 wt % of polar solvent; 0.01-3 wt % of corrosion inhibitor selected from the group consisting of imidazoline derivative, sulfide derivative, sulfoxide derivative, aromatic compound or aromatic compound with hydroxyl group; 0.01-5 wt % of monoalcohol compound of C2-C10; and 40-70 wt % of deionized water. The photoresist stripper composition for manufacturing the semiconductor can remove the photoresist film thermoset by hard bake, dry etching, ashing or ion implantation and denatured by the metallic by-product etched from the bottom metallic film in said process at low temperature easily and quickly, and minimize the corrosion of the bottom metallic wiring in the removing process of the photoresist.
    Type: Grant
    Filed: August 5, 2006
    Date of Patent: May 31, 2011
    Assignee: Techno Semichem Co., Ltd.
    Inventors: Hyun Tak Kim, Seong Hwan Park, Jung Hun Lim, Sung Bae Kim, Chan Jin Jeong, Kui Jong Baek
  • Patent number: 7947637
    Abstract: The present disclosure provides a non-corrosive cleaning composition that is useful for removing residues from a semiconductor substrate. The composition can comprise water, at least one hydrazinocarboxylic acid ester, at least one water soluble carboxylic acid, optionally, at least one fluoride-containing compound, and, optionally, at least one corrosion inhibitor not containing a carboxyl group. The present disclosure also provides a method of cleaning residues from a semiconductor substrate using the non-corrosive cleaning composition.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: May 24, 2011
    Assignee: Fujifilm Electronic Materials, U.S.A., Inc.
    Inventor: Emil Kneer
  • Patent number: 7947130
    Abstract: Semiconductor processing compositions for use with silicon wafers having an insulating layers and metallization layers on the wafers comprising water and one or more Troika acids which is also referred to as ?,?-disubstituted trifunctional oximes or ?-(Hydroxyimino) Phosphonoacetic acids, their salts, and their derivatives.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: May 24, 2011
    Inventor: Wai Mun Lee
  • Patent number: 7947638
    Abstract: A sulfur-containing detergent composition for cleaning a semiconductor device having an aluminum wire, wherein the sulfur-containing detergent composition is capable of forming a protective film containing a sulfur atom on a surface of an aluminum film in a protective film-forming test; a semiconductor device comprising a protective film containing a sulfur atom on a surface of an aluminum wire, wherein sulfur atom is contained within a region of at least 5 nm in its thickness direction from the surface of the protective film; and method for manufacturing a semiconductor device, comprising the step of contacting an aluminum wire of the semiconductor device with the sulfur-containing detergent composition as defined above, thereby forming a sulfur-containing protective film on the surface of the aluminum wire. The semiconductor device can be suitably used in the manufacture of electronic parts such as LCD, memory and CPU.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: May 24, 2011
    Assignee: Kao Corporation
    Inventors: Atsushi Tamura, Yasuhiro Doi
  • Patent number: 7943562
    Abstract: In a cleaning composition, a method of cleaning a semiconductor substrate and a method of manufacturing a semiconductor device, the cleaning composition includes about 0.5 to about 5% by weight of an organic ammonium hydroxide compound, about 0.1 to about 3% by weight of a fluoride compound, about 0.1 to about 3% by weight of a buffering agent, about 0.5 to about 5% by weight of an etching accelerant, and a remainder of water.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: May 17, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Da-Hee Lee, Jung-Dae Park, Hun-Jung Yi, Tae-Hyo Choi
  • Patent number: 7939482
    Abstract: A cleaning solution for a semiconductor wafer comprises ammonia, hydrogen peroxide, a complexing agent and a block copolymer surfactant diluted in water. The cleaning solution can be used in single wafer cleaning tools to remove both particulate contaminants and metallic residues.
    Type: Grant
    Filed: May 25, 2005
    Date of Patent: May 10, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Janos Farkas
  • Patent number: 7935665
    Abstract: A non-corrosive cleaning composition that is aqueous-based, non-hazardous and will not harm the environment and is useful primarily for removing both fresh and aged plasma etch residues from a substrate. The composition comprises (a) water; and (b) a synergistic combination of at least one tricarboxylic acid and at least one carboxylic acid. Preferably, the at least one carboxylic acid has a pKa value ranging from 3 to 6. Also, a method for removing etch residues from a substrate. The method includes the steps of (a) providing a substrate with etch residue; and (b) contacting the substrate with a cleaning composition comprising water; and a synergistic combination of at least one tricarboxylic acid and at least one carboxylic acid.
    Type: Grant
    Filed: April 24, 2003
    Date of Patent: May 3, 2011
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Vincent G. Leon, Michelle Elderkin, Lawrence Ferreira
  • Publication number: 20110098205
    Abstract: The compositions and methods herein relate to the method for the removal of residues and contaminants from metal or dielectric surfaces. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Application
    Filed: September 23, 2010
    Publication date: April 28, 2011
    Inventor: WAI MUN LEE
  • Publication number: 20110094545
    Abstract: An object of this invention is to provide a cleaner composition that has a desirable cleaning property and is easily separable from pre-rinsing water even when cleaning an object produced by using a lead-free soldering flux; and a lead-free soldering flux removal system using a pre-rinsing method that can reduce the amount of waste fluid. The invention uses a cleaner composition for lead-free soldering flux, comprising (A) specific glycol ethers; (B) a specific polyoxyalkylene amine; and (C) a chelating agent that is at least one member selected from the group consisting of (c1) aliphatic hydroxycarboxylic acid chelating agents and (c2) (poly)phosphoric acid chelating agents.
    Type: Application
    Filed: August 17, 2009
    Publication date: April 28, 2011
    Applicant: Arakawa Chemical Industries, Ltd.
    Inventors: Keita Tanaka, Takashi Tanaka
  • Patent number: 7932221
    Abstract: A solvent for cleaning that offers particularly superior results for cleaning pigment-dispersed photosensitive resin compositions used for forming color filters and black matrix patterns. The solvent for cleaning has a hydrogen bonding parameter (?H) among the Hansen solubility parameters that falls within a range from 5 to 10.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: April 26, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Akira Katano, Tetsuya Kato, Koji Harada
  • Patent number: 7928046
    Abstract: Aqueous, silicate free, cleaning compositions of about pH 9 or below and method of using the cleaning compositions for cleaning microelectronic substrates, which compositions are able to essentially completely clean such substrates and produce essentially no metal corrosion of the metal elements of such substrates. The aqueous cleaning compositions of this invention have (a) water, (b) at least one of ammonium and quaternary ammonium ions and (c) at least one of hypophosphite (H2PO2?) and/or phosphite (HPO32?) ions. The cleaning compositions also may contain fluoride ions. Optionally, the composition may contain other components such as organic solvents, oxidizing agent, surfactants, corrosion inhibitors and metal complexing agents.
    Type: Grant
    Filed: February 8, 2006
    Date of Patent: April 19, 2011
    Assignee: Avantor Performance Materials, Inc.
    Inventors: Joseph M. Ilardi, David C. Skee, Sean M. Kane, Karen E. Trovalli
  • Patent number: 7922824
    Abstract: An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: April 12, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David W. Minsek, Michael B. Korzenski, Martha M. Rajaratnam
  • Patent number: 7923423
    Abstract: Compositions useful in semiconductor manufacturing for surface preparation and/or cleaning of wafer substrates such as semiconductor device precursor structures. The compositions can be employed for processing of wafers that have, or are intended to be further processed to include, copper metallization, e.g., in operations such as surface preparation, pre-plating cleaning, post-etching cleaning, and post-chemical mechanical polishing cleaning of semiconductor wafers. The compositions contain (i) alkanolamine, (ii) quaternary ammonium hydroxide and (iii) a complexing agent, and are storage-stable, as well as non-darkening and degradation-resistant in exposure to oxygen.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: April 12, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Elizabeth Walker, Shahri Naghshineh, Jeff Barnes, Ewa Oldak
  • Patent number: 7922823
    Abstract: Compositions useful in microelectronic device manufacturing for surface preparation and/or cleaning of wafer substrates such as microelectronic device precursor structures. The compositions can be employed for processing of wafers that have, or are intended to be further processed to include, copper metallization, e.g., in operations such as surface preparation, pre-plating cleaning, post-etching cleaning, and post-chemical mechanical polishing cleaning of microelectronic device wafers. The compositions contain (i) alkanolamine, (ii) quaternary ammonium hydroxide and (iii) a complexing agent, and are storage-stable, as well as non-darkening and degradation-resistant in exposure to oxygen.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: April 12, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Elizabeth Walker, Shahri Naghshineh, Jeffrey A. Barnes, Ewa Oldak, Darryl W. Peters, Kevin P. Yanders
  • Patent number: 7923424
    Abstract: A method of cleaning a substrate includes contacting a surface of a semiconductor substrate with a composition comprising a superacid. The semiconductor substrate may be a wafer.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 12, 2011
    Assignee: Advanced Process Technologies, LLC
    Inventor: Robert J. Small
  • Patent number: 7919446
    Abstract: Compositions comprise a purine compound; an alcohol amine; a quaternary ammonium salt; an amino acid, and optionally an antioxidant. The compositions are useful in post-CMP cleaning processes. One particular advantage of these compositions is that they can effectively remove slurry contamination without increasing the roughness of the copper surface.
    Type: Grant
    Filed: December 26, 2008
    Date of Patent: April 5, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Zachary M. Fresco, Anh Duong, Chi-I Lang, Nikhil Kalyankar, Nicole Rutherford, Alexander Gorer
  • Patent number: 7918941
    Abstract: The present invention relates to a cleaning agent composition for use in cleaning a substrate for recording media, a substrate for photomask, or a substrate for flat panel display, a surface of which at least contains a metallic or glassy substrate moiety, the cleaning agent composition containing (I) a copolymer compound satisfying at least the following (i) to (iii): (i) a constituting unit A1 derived from acrylic acid is contained in an amount of 20% by mol or more of the entire constituting units; (ii) the constituting unit A1 derived from acrylic acid and a constituting A2 derived from 2-acrylamide-2-methylpropanesulfonic acid are contained in a total amount of 90% by mol or more of the entire constituting units; and (iii) the constituting unit A1 and the constituting unit A2 of the entire constituting units are in a content ratio [constituting unit A1 (% by mol)/constituting unit A2 (% by mol)] of from 91/9 to 95/5.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: April 5, 2011
    Assignee: Kao Corporation
    Inventors: Atsushi Tamura, Yasunori Horio
  • Patent number: 7919445
    Abstract: The present invention relates to a novel solution for the removal of post-etch residues having improved properties and to the use thereof in the production of semiconductors. The invention relates, in particular, to an aqueous solution having a reduced etching rate on metallisations and on surfaces which have to be freed from post-etch residues and particles during the semiconductor production process.
    Type: Grant
    Filed: March 10, 2005
    Date of Patent: April 5, 2011
    Assignee: BASF Aktiengesellschaft
    Inventor: Raimund Mellies
  • Publication number: 20110076852
    Abstract: A cleaning composition for removing plasma etching residue and/or ashing residue formed above a semiconductor substrate is provided that includes (component a) water, (component b) a hydroxylamine and/or a salt thereof, (component c) a basic organic compound, and (component d) an organic acid and has a pH of 7 to 9. There are also provided a cleaning process and a process for producing semiconductor device employing the cleaning composition.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 31, 2011
    Applicant: FUJIFILM Corporation
    Inventors: Tomonori TAKAHASHI, Kazutaka TAKAHASHI, Atsushi MIZUTANI, Hiroyuki SEKI, Hideo FUSHIMI, Tomoo KATO
  • Patent number: 7914624
    Abstract: Inventive methods, systems and compositions of cleaning integrated circuit (“IC”) substrates are described. The cleaning methods of the present invention include: charging a solution, which contains at least a solute selected to promote cleaning of the IC substrate, to produce a charged solution, such that at least a portion of the solute is present as clusters in the charged solution; and conveying the charged solution for cleaning the IC substrate. The cleaning systems of the present invention include: a charging chamber for holding a solution, which contains at least a solute selected to promote cleaning of the integrated circuit substrate; and a first acoustic energy source capable of vibrating the solution in the charging chamber to produce a charged solution such that at least a portion of the solute is present as clusters in the charged solution.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: March 29, 2011
    Assignee: Nano OM, LLC
    Inventor: Suraj Puri
  • Publication number: 20110065621
    Abstract: Material for cleaning using a tri-state body are disclosed. A substrate having a particle deposited thereon is provided. A tri-state body that has a solid portion, liquid portion, and a gas portion is generated. A force is applied over the tri-state body to promulgate an interaction between the solid portion and the particle. The tri-state body is removed along with the particle from the surface of the substrate. The interaction between the solid portion and the particle causes the particle to be removed along with the tri-state body.
    Type: Application
    Filed: November 17, 2010
    Publication date: March 17, 2011
    Inventors: Erik M. Freer, John M. de Larios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Publication number: 20110061736
    Abstract: A method to preparing Cadmium telluride surface before forming metal back contact is disclosed. The method can include removing carbon from Cadmium telluride surface.
    Type: Application
    Filed: September 10, 2010
    Publication date: March 17, 2011
    Applicant: First Solar, inc.
    Inventors: Pratima V. Addepalli, John S. Deeken, Oleh P. Karpenko
  • Patent number: 7896970
    Abstract: A semiconductor substrate cleaning liquid composition is provided that includes one or more types selected from the group consisting of a compound having at least two sulfonic acid groups per molecule, phytic acid, and a condensed phosphoric acid compound; an inorganic acid; and water. There is also provided a process for cleaning a semiconductor substrate that includes a first step of cleaning the semiconductor substrate using the semiconductor substrate cleaning liquid composition and, subsequent to the first step, a second step of cleaning the semiconductor substrate with pure water, ozone water formed by dissolving ozone gas in pure water, or aqueous hydrogen peroxide.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: March 1, 2011
    Assignees: Kabushiki Kaisha Toshiba, Kanto Kagaku Labushiki Kaisha
    Inventors: Hiroshi Tomita, Yuji Yamada, Hiroaki Yamada, Norio Ishikawa, Yumiko Abe
  • Patent number: 7888302
    Abstract: A composition and method comprising same for selectively removing residues such as, for example, ashed photoresist and/or processing residues are disclosed herein. In one aspect, there is provided a composition for removing residue wherein the composition has a pH ranging from about 2 to about 9 comprising: a buffer solution comprising an organic acid and a conjugate base of the organic acid in a molar ratio of acid to base ranging from 10:1 to 1:10; a fluoride, and water, provided that the composition is substantially free of an added organic solvent. In another aspect, the composition may further comprise a corrosion inhibitor and/or a surfactant.
    Type: Grant
    Filed: December 19, 2005
    Date of Patent: February 15, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Roberto John Rovito
  • Patent number: 7888301
    Abstract: An aqueous-based composition and process for removing photoresist, bottom anti-reflective coating (BARC) material, and/or gap fill material from a substrate having such material(s) thereon. The aqueous-based composition includes a fluoride source, at least one organic amine, at least one organic solvent, water, and optionally chelating agent and/or surfactant. The composition achieves high-efficiency removal of such material(s) in the manufacture of integrated circuitry without adverse effect on metal species on the substrate, such as copper, and without damage to SiOC-based dielectric materials employed in the semiconductor architecture.
    Type: Grant
    Filed: December 1, 2004
    Date of Patent: February 15, 2011
    Assignee: Advanced Technology Materials, Inc.
    Inventors: David D. Bernhard, Yoichiro Fujita, Tomoe Miyazawa, Makoto Nakajima
  • Publication number: 20110030722
    Abstract: Cleaning water for an electronic material which exhibits a remarkably improved cleaning effect in comparison with conventional waters containing dissolved gas is provided. The cleaning water for an electronic material comprises water containing dissolved gas containing oxygen and argon as dissolved gases, and has a concentration of dissolved oxygen being equal to or more than 8 mg/L, and a content of dissolved argon gas being equal to or more than 2 volume % of the total amount of dissolved oxygen gas and dissolved argon gas. A method for cleaning an electronic material with the cleaning water for an electronic material. The cleaning water for an electronic material of the present invention, which comprises water containing dissolved oxygen/argon gas, contains a smaller amount of dissolved gas, can obtain an improved cleaning effect even when a small amount of a chemical is used, and therefore, can be produced safely and easily at a low cost.
    Type: Application
    Filed: March 25, 2009
    Publication date: February 10, 2011
    Applicant: KURITA Water Industries Ltd.
    Inventors: Junichi Ida, Hiroto Tokoshima
  • Patent number: 7884062
    Abstract: Disclosed is a cleaning liquid for lithography which is characterized by containing a mixed organic solvent which is obtained by mixing (A) at least one solvent selected from ketone organic solvents and glycol ether organic solvents, (B) at least one solvent selected from lactone organic solvents and (C) at least one solvent selected from alkoxy benzenes and aromatic alcohols. This cleaning liquid is highly safe and does not have adverse effects on the environment or the human body, while having basic characteristics necessary for a cleaning liquid for lithography. In addition, this cleaning liquid can be stably supplied at low cost.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: February 8, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Hideya Kobari
  • Patent number: 7879735
    Abstract: A cleaning solution and methods of fabricating semiconductor devices using the same are provided. A cleaning solution used for cleaning a silicon surface and methods of fabricating a semiconductor device using the same are also provided. The cleaning solution may include 0.01 to 1 wt % of fluoric acid, 20 to 50 wt % of oxidizer and 50 to 80 wt % of water. The cleaning solution may further include 1 to 20 wt % of acetic acid. The cleaning solution may be used to clean a silicon surface exposed during fabrication processes of a semiconductor device. The cleaning solution may reduce damage of other material layers (e.g., a tungsten layer or a silicon oxide layer) and enable the silicon surface to be selectively etched.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: February 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Chang-Ki Hong, Woo-Gwan Shim
  • Patent number: 7879782
    Abstract: An aqueous-based composition and method comprising same for removing residues such as without limitation post-ashed and/or post-etched photoresist from a substrate is described herein. In one aspect, there is provided a composition for removing residues comprising: water; at least one selected from a hydroxylamine, a hydroxylamine salt compound, and mixtures thereof; and a corrosion inhibitor wherein the composition is substantially free of an added organic solvent and provided that the corrosion inhibitor does not contain a water soluble organic acid.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: February 1, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Roberto John Rovito
  • Patent number: 7879783
    Abstract: The present invention relates to a semi-aqueous cleaning composition used to remove unwanted organic and inorganic residues and contaminants from semiconductor substrates. The cleaning composition comprises a buffering system comprising a polyprotic acid having at least three carboxylic acid groups with a pKa value of about 5 to about 7. The composition also comprises a polyhydric solvent, such as glycerol. A fluoride ion source is also included in the cleaning compositions of the present invention and is principally responsible for removing inorganic residues from the substrate. The cleaning compositions of the present invention have a low toxicity and are environmentally acceptable.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: February 1, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Aiping Wu
  • Publication number: 20110021400
    Abstract: An object of the present invention is to provide a semiconductor surface treating agent composition, which can realize easy removing of an anti-reflection coating layer in a production process of a semiconductor device or the like at a low temperature in a short time, a method for treating a semiconductor surface using the same, and further a semiconductor surface treating agent composition, which can realize not only removing of both layer of an anti-reflection coating layer and a resist layer, but can realize even removing of a cured resist layer produced in an etching process, and a method for treating a semiconductor surface using the same. The semiconductor surface treating agent composition of the present invention is characterized by comprising a compound which generates a fluorine ion in water, a carbon radical generating agent, and water and optionally an organic solvent, and the method for treating a semiconductor surface of the present invention is characterized by using the composition.
    Type: Application
    Filed: March 6, 2009
    Publication date: January 27, 2011
    Applicant: WAKO PURE CHEMICAL INDUSTRIES, LTD.
    Inventors: Hironori Mizuta, Osamu Matsuda
  • Patent number: 7863231
    Abstract: A thinner composition includes propylene glycol ether acetate, methyl 2-hydroxy-2-methyl propionate, and an ester compound such as ethyl lactate, ethyl 3-ethoxy propionate or a mixture thereof.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: January 4, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Hyun Ahn, Eun-Mi Bae, Baik-Soon Choi, Sang-Mun Chon, Dae-Joung Kim, Kwang-sub Yoon, Sang-Kyu Park, Jae-Ho Kim, Shi-Yong Yi, Kyoung-Mi Kim, Yeu-Young Youn
  • Publication number: 20100331226
    Abstract: A system, method and an apparatus to remove contaminants from a semiconductor substrate surface includes application of a cleaning material. The cleaning material includes a cleaning solution and a plurality of micron-sized dry polyvinyl particles dispersed in the cleaning solution. The cleaning solution is a single phase polymeric compound that is made of long polymeric chains and exhibits distinct viscoelastic properties. The plurality of micron-sized dry polyvinyl alcohol particles absorb the liquid in the cleaning solution and become uniformly suspended within the cleaning material. The suspended polyvinyl alcohol particles interact with at least some of contaminants on the semiconductor substrate surface to release and remove the contaminants from the substrate surface. The released contaminants are entrapped within the cleaning material and removed with the cleaning material leaving behind a substantially clean substrate surface.
    Type: Application
    Filed: June 24, 2009
    Publication date: December 30, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Katrina Mikhaylichenko
  • Patent number: 7858572
    Abstract: Provided is a composition for removing polymer residue of a photosensitive etching-resistant layer. The composition includes 0.1 to 80% by weight of a corrosion inhibitor shown in Formula 1; 10 to 80% by weight of a pH control agent of which hydrogen ion concentration is in a weak basic range; 0.1 to 2% by weight of ammonium fluoride; and the remaining percentage by weight of water. The composition for removing the polymer residue can effectively remove insoluble residue generated during a semiconductor fabrication process without inflicting damage on an underlying layer and contains environment-friendly components.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: December 28, 2010
    Assignee: Liquid Technology Co., Ltd.
    Inventors: Ho-Sung Choi, Deok-Ho Kim
  • Publication number: 20100319735
    Abstract: A cleaning composition which is capable of removing both organic soiling and particulate soiling adhered to a substrate for an electronic device with a high degree of cleanliness, and which also has minimal impact on the environment, as well as a method of cleaning a substrate for an electronic device. The present invention relates to a cleaning composition used for cleaning a substrate for an electronic device including a water-soluble salt (A) containing a transition metal, a chelating agent (B) and a peroxide (C), wherein the amount of the chelating agent (B) is not less than 0.5 molar equivalents relative to the amount of the water-soluble salt (A) containing a transition metal.
    Type: Application
    Filed: February 13, 2009
    Publication date: December 23, 2010
    Applicant: Lion Corporation
    Inventors: Makoto Hidaka, Taku Ogura
  • Publication number: 20100320413
    Abstract: The hydrocarbon refrigerant and detergent composition is a hydrocarbon-based composition, which may be used as a refrigerant for air conditioners, refrigerators or the like, and which may also be used as a detergent for cleaning precision components, such as semiconductor chips, motherboards or the like. The hydrocarbon composition includes about 5.0% ethane, about 60.0% propane, about 5.0% isobutene and about 30.0% butane by volume.
    Type: Application
    Filed: June 17, 2009
    Publication date: December 23, 2010
    Inventor: Richard H. Maruya
  • Publication number: 20100313917
    Abstract: Apparatus and methods for removing particle contaminants from a solid surface includes providing a layer of a viscoelastic material on the solid surface. The viscoelastic material is applied as a thin film and exhibits substantial liquid-like characteristics. The viscoelastic material at least partially binds with the particle contaminants. A high velocity liquid is applied to the viscoelastic material, such that the viscoelastic material exhibits solid-like behavior. The viscoelastic material is thus dislodged from the solid surface along with the particle contaminants, thereby cleaning the solid surface of the particle contaminants.
    Type: Application
    Filed: June 16, 2009
    Publication date: December 16, 2010
    Applicant: Lam Research Corp.
    Inventors: Mark Naoshi Kawaguchi, David Mui, Mark Wilcoxson
  • Publication number: 20100317556
    Abstract: A cleaning compound is disclosed for removing particulate contaminants from a semiconductor substrate surface. The cleaning compound includes a liquid and carboxylic acid solid components dispersed in a substantially uniform manner in the liquid. A concentration of the carboxylic acid solid components in the liquid exceeds a solubility limit of the carboxylic acid solid components in the liquid. In one embodiment, a concentration of the carboxylic acid solid components in the liquid is within a range extending from about 3 percent by weight to about 5 percent by weight. In one embodiment, the carboxylic acid solid components are defined by a carbon number of at least four. The carboxylic acid solid components are defined to interact with the particulate contaminants on the semiconductor substrate surface to remove the particulate contaminants from the semiconductor substrate surface. The cleaning compound is viscous and may be formed as a gel.
    Type: Application
    Filed: August 24, 2010
    Publication date: December 16, 2010
    Applicant: Lam Research Corporation
    Inventors: Mikhail Korolik, Erik M. Freer, John M. de Larios, Katrina Mikhaylichenko, Mike Ravkin, Fritz Redeker
  • Patent number: 7851427
    Abstract: Resist stripping agents, useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with reduced metal etch rates, particularly copper etch rates, are provided with methods for their use. The preferred stripping agents contain low concentrations of a copper salt with or without an added amine to improve solubility of the salt. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: December 14, 2010
    Assignee: Dynaloy, LLC
    Inventors: Kimberly Dona Pollard, Michael T. Phenis
  • Patent number: 7851426
    Abstract: A cleaning liquid used in cleaning of a substrate for use in semiconductor devices conducted after chemical mechanical polishing in manufacture of semiconductor devices, comprising a polycarboxylic acid, an anionic surfactant having an aromatic ring structure in a molecule, a polymer compound having an acidic group on a side chain, and a low molecular weight polyethylene glycol, at the cleaning liquid having a pH of 5 or less, as well as a method of cleaning using the same.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: December 14, 2010
    Assignee: FUJIFILM CORPORATION
    Inventor: Yoshinori Nishiwaki
  • Patent number: RE42128
    Abstract: Compositions containing certain organic solvents and a fluorine source are capable of removing photoresist and etching residue.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: February 8, 2011
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew Egbe
  • Patent number: RE42248
    Abstract: A cleaning apparatus of organic substances attached to a vapor-deposition mask for low molecular weight organic EL devices comprises a first stage for treating a vapor-deposition mask with a derivative of pyrrolidone, a second stage for rinsing the vapor-deposition mask with water, and a third stage for rinsing the vapor-deposition mask with flowing water. The cleaning apparatus also comprises a fourth stage for treating the vapor-deposition mask with ethanol, a fifth stage for drying the vapor-deposition mask, and a carrying means that carries the vapor-deposition mask to each stage in sequence. It is desirable to adopt N-methyl-2-pyrrolidone as the derivative of pyrrolidone.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: March 29, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Toshiko Hosoda, Shinichi Yotsuya