For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Publication number: 20100311630
    Abstract: A surface treatment composition of this invention is a composition for treating a metal wiring-including surface of a semiconductor substrate, which includes a compound (A) represented by a specific structural formula and a solvent (B) having a boiling point at one atmospheric pressure of 50 to 300° C., and has a pH of 4 to 11. According to the surface treatment composition of the present invention, oxidation of metal wiring of a semiconductor substrate can be suppressed and deterioration of the flatness of the metal wiring portion due to unusual oxidation can be suppressed. Furthermore, when an insulating film or a barrier metal film is present on a metal wiring-including surface of the semiconductor substrate, fang and surface roughness of the metal wiring occurring in the interface between the metal wiring and the insulating film or the barrier metal film can be suppressed.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 9, 2010
    Applicants: JSR CORPORATION, KABUSHIKI KAISHA TOSHIBA
    Inventors: Yasumasa MORI, Hirotaka Shida, Kazuo Kawaguchi, Hiroyuki Yano, Mie Matsuo
  • Patent number: 7846355
    Abstract: Disclosed is a method for removing residue from a surface comprising: contacting the surface with a composition comprising at least one unsaturated fluorinated hydrocarbon selected from the group consisting of compounds having the formula E- or Z—R1CH?CHR2, wherein R1 and R2 are, independently, C1 to C6 perfluoroalkyl groups, or C1 to C6 hydrofluoroalkyl groups, and recovering the surface from the composition.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: December 7, 2010
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Mario Joseph Nappa, Melodie A. Schweitzer, Allen Capron Sievert, Ekaterina N. Swearingen
  • Patent number: 7842623
    Abstract: A composition for removing an insulation material and related methods of use are disclosed. The composition comprises about 1 to 50 percent by weight of an oxidizing agent, about 0.1 to 35 percent by weight of a fluorine-containing compound, and water. The insulation material comprises at least one of a low-k material and a protection material.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: November 30, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chun-Deuk Lee, Jung-Jea Myung, Myun-Kyu Park, Dong-Min Kang, Byoung-Woo Son, Masayuki Takashima, Young-Nam Kim, Hyun-Joon Kim
  • Publication number: 20100294306
    Abstract: Provided is a method for cleaning a semiconductor device substrate, which is excellent in removability and re-adhesion-preventing properties of contaminations of fine particles or organic matter, metal contamination and combined contamination of organic matter and metal, which are adhered to a substrate surface, and which can highly clean the substrate surface without corroding it even when an intense ultrasonic wave is not applied. It is a method for cleaning a semiconductor device substrate, the method comprising cleaning the semiconductor device substrate while applying an ultrasonic wave having an intensity of 0.2 W or more and 1.
    Type: Application
    Filed: December 3, 2008
    Publication date: November 25, 2010
    Applicant: Mitsubishi Chemical Corporation
    Inventors: Hideaki Mochizuki, Makoto Ishikawa, Noriyuki Saito
  • Patent number: 7837800
    Abstract: The present invention relates to a CMP polishing slurry, comprising cerium oxide particles, a dispersing agent, a water-soluble polymer and water, wherein the water-soluble polymer is a compound having a skeleton of any one of an N-mono-substituted product and an N,N-di-substituted product of any one selected from the group consisting of acrylamide, methacrylamide and ?-substituted products thereof. The amount of the water-soluble polymer is preferably in the range of 0.01 part or more by weight and 10 parts or less by weight for 100 parts by weight of the polishing slurry. Thus it is possible to provide a polishing slurry and a polishing method which make it possible to polish a film made of silicon oxide or the like effectively and rapidly and further control the process therefor easily in CMP technique for flattening an interlayer insulating film, a BPSG film, an insulator film for shallow trench isolation, and other films.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: November 23, 2010
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Masato Fukasawa, Masato Yoshida, Naoyuki Koyama, Yuto Ootsuki, Chiaki Yamagishi, Kazuhiro Enomoto, Kouji Haga, Yasushi Kurata
  • Patent number: 7838482
    Abstract: The present invention relates to a CMP polishing slurry, comprising cerium oxide particles, a dispersing agent, a water-soluble polymer and water, wherein the water-soluble polymer is a compound having a skeleton of any one of an N-mono-substituted product and an N,N-di-substituted product of any one selected from the group consisting of acrylamide, methacrylamide and ?-substituted products thereof. The amount of the water-soluble polymer is preferably in the range of 0.01 part or more by weight and 10 parts or less by weight for 100 parts by weight of the polishing slurry. Thus it is possible to provide a polishing slurry and a polishing method which make it possible to polish a film made of silicon oxide or the like effectively and rapidly and further control the process therefor easily in CMP technique for flattening an interlayer insulating film, a BPSG film, an insulator film for shallow trench isolation, and other films.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: November 23, 2010
    Assignee: Hitachi Chemical Co. Ltd.
    Inventors: Masato Fukasawa, Masato Yoshida, Naoyuki Koyama, Yuto Ootsuki, Chiaki Yamagishi, Kazuhiro Enomoto, Kouji Haga, Yasushi Kurata
  • Patent number: 7838483
    Abstract: The invention relates to processes for producing and using amidoxime compounds with low trace metal impurities. The invention further relates to compositions comprising amidoxime compounds with low trace metal impurities, such compositions useful for cleaning or removing residues from semiconductor substrates and/or equipment.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: November 23, 2010
    Assignee: EKC Technology, Inc.
    Inventors: Wai Mun Lee, Charles C. Y. Chen
  • Patent number: 7833957
    Abstract: The present invention provides a resist-removing solution for low-k film and a cleaning solution for via holes or capacitors, the solutions comprising hydrogen fluoride (HF) and at least one member selected from the group consisting of organic acids and organic solvents. The invention also provides a method of removing resist and a method of cleaning via holes or capacitors by the use of the solutions.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: November 16, 2010
    Assignee: Daikin Industries, Ltd.
    Inventors: Mitsushi Itano, Takashi Kanemura, Shingo Nakamura, Fumihiro Kamiya, Takehiko Kezuka
  • Publication number: 20100286014
    Abstract: An acidic composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The acidic composition includes surfactant, dispersing agent, sulfonic acid-containing hydrocarbon, and water. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Application
    Filed: February 5, 2007
    Publication date: November 11, 2010
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventor: Jeffrey A. Barnes
  • Publication number: 20100279910
    Abstract: An improved method for making a photoresist stripping solution for a metal-containing semi-conductor substrate where the stripping solution comprises a blend of at least one organic sulfonic acid with a halogen-free hydrocarbon solvent wherein concentrations of trace amounts of residual sulfuric acid and sulfur trioxide in the blend are reduced to very low levels.
    Type: Application
    Filed: September 22, 2009
    Publication date: November 4, 2010
    Inventor: Wai Mun Lee
  • Patent number: 7825078
    Abstract: Back end photoresist strippers and residue compositions are provided by non-aqueous compositions that are essentially non-corrosive toward copper as well as aluminum and that comprise a polar organic solvent, a hydroxylated amine, and as a corrosion inhibitor fructose.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: November 2, 2010
    Assignee: Mallinckrodt Baker, Inc.
    Inventor: Seiji Inaoka
  • Patent number: 7825079
    Abstract: The invention relates to compositions and methods for cleaning integrated circuit substrates. The compositions are in the form of an aqueous solution and include a quaternary ammonium hydroxide compound and a chelating compound. The chelating compound includes either boric acid or at least one N-substituted aminocarboxylate selected from the group consisting of N-bis(2-hydroxyethyl)glycine(bicine), N-tris(hydroxymethyl)methyl glycine (tricine) and mixtures thereof, and can optionally include glycine, Iminodiacetic acid (IDA), Nitrilo trizacetic acid (NTA), Ethylenediammine Tetraacetic acid (EDTA), or mixtures thereof.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: November 2, 2010
    Assignee: EKC Technology, Inc.
    Inventors: Tomoco Suzuki, Atsushi Otake
  • Publication number: 20100273330
    Abstract: The present invention relates to a solution for treating a surface of a substrate for use in a semiconductor device. More particularly, the present invention relates to a liquid rinse formulation for use in semiconductor processing, wherein the liquid formulation contains: i. a surface passivation agent; and ii. an oxygen scavenger, wherein the pH of the rinse formulation is 8.0 or greater.
    Type: Application
    Filed: August 23, 2006
    Publication date: October 28, 2010
    Applicant: CITIBANK N.A. AS COLLATERAL AGENT
    Inventors: Janos Farkas, Maria-Luisa Calvo-Munez, Philippe Monnoyer, Sebastien Petitdidier
  • Publication number: 20100264360
    Abstract: The present invention relates to the use of at least one oxidant, selected from peracids, in compositions for the processing of semiconductor wafers, in particular for the cleaning and chemical mechanical polishing of semiconductor wafers. The present invention also relates to the use of a composition and composition therefore. The use of the oxidants of the invention leads to a good efficacy while limiting/avoiding the corrosion of the substrate.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 21, 2010
    Applicant: SOLVAY (SOCIETE ANONYME)
    Inventors: Jurgen Bosse, Rocco Alessio, Steve Dobson, Heinz-Joachim Belt
  • Patent number: 7816313
    Abstract: A photoresist residue remover composition is provided that removes a photoresist residue formed by a resist ashing treatment after dry etching in a step of forming, on a substrate surface, wiring of any metal of aluminum, copper, tungsten, and an alloy having any of these metals as a main component, the composition including one or two or more types of inorganic acid and one or two or more types of inorganic fluorine compound. There is also provided a process for producing a semiconductor circuit element wherein, in a step of forming wiring of any metal of aluminum, copper, tungsten, and an alloy having any of these metals as a main component, the photoresist residue remover composition is used for removing a photoresist residue formed by a resist ashing treatment after dry etching.
    Type: Grant
    Filed: April 8, 2008
    Date of Patent: October 19, 2010
    Assignees: Kanto Kagaku Kabushiki Kaisha, Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Kawamoto, Mikie Miyasato, Takuo Oowada, Norio Ishikawa
  • Patent number: 7816312
    Abstract: The present invention provides a composition for photoresist stripping solution which shows a superior stripping property of photoresists and damaged photoresist layers remained after dry etching in the fabrication process of semiconductor circuit devices, without attacking new wiring materials and interlayer insulating film materials, as well as a process of stripping of photoresists and damaged photoresist layers. The composition for photoresist stripping solution which contains at least one of acetylene alcohol compounds and organic sulfonic acid compounds, and at least one of polyvalent alcohols and their derivatives is used.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: October 19, 2010
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventors: Takuo Oowada, Kaoru Ikegami
  • Publication number: 20100261632
    Abstract: Cleaning compositions and processes for removing residue from a microelectronic device having said residue thereon. The composition, which is substantially devoid of fluoride species, amine species, and organic solvents, achieves highly efficacious cleaning of the residue material, including post-etch residue, post-ash residue and/or post-CMP residue, from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon.
    Type: Application
    Filed: August 4, 2008
    Publication date: October 14, 2010
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Michael Korzenski, Ping Jiang, Brittany Serke
  • Patent number: 7811978
    Abstract: Described are anionic N-substituted fluorinated sulfonamide surfactants, and use thereof in cleaning and in acid etch solutions. The cleaning and etch solutions are used with a wide variety of substrates, for example, in the cleaning and etching of silicon oxide-containing substrates.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: October 12, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Patricia M. Savu, William M. Lamanna, Michael J. Parent
  • Patent number: 7807613
    Abstract: The invention relates to aqueous, buffered, fluoride containing compositions having a pH of greater than 7.0 to about 11.0. In certain embodiments, the buffered compositions have an extended worklife because pH dependent attributes such as oxide and metal etch rates are stable so long as the pH remains stable.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: October 5, 2010
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Roberto J. Rovito, Jennifer M. Rieker, Darryl W. Peters
  • Publication number: 20100248486
    Abstract: The present invention provides a residue-removing solution for use after a dry process, the residue-removing solution being capable of preventing minute cracks on a Cu surface, which has heretofore been unresolved with known polymer-removing solutions; and a method for manufacturing semiconductor devices using the residue-removing solution. More specifically, the invention relates to a residue-removing solution for removing residues present on semiconductor substrates after dry etching and/or ashing, the solution containing water and at least one component selected from the group consisting of (a) a keto acid, (b) a keto acid salt, and (c) an aldehyde acid salt; and a method for removing residues using the residue-removing solution.
    Type: Application
    Filed: August 23, 2007
    Publication date: September 30, 2010
    Applicant: Daikin Industries, Ltd.
    Inventor: Shingo Nakamura
  • Publication number: 20100248477
    Abstract: It is disclosed a cleaning liquid used in a process for forming a dual damascene structure comprising steps of etching a low dielectric layer (low-k layer) accumulated on a substrate having thereon a metallic layer to form a first etched-space; charging a sacrifice layer in the first etched-space; partially etching the low dielectric layer and the sacrifice layer to form a second etched-space connected to the first etched-space; and removing the sacrifice layer remaining in the first etched-space with the cleaning liquid, wherein the cleaning liquid comprises (a) 1-25 mass % of a quaternary ammonium hydroxide, such as TMAH and choline (b) 30-70 mass % of a water soluble organic solvent, and (c) 20-60 mass % of water.
    Type: Application
    Filed: June 9, 2010
    Publication date: September 30, 2010
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Patent number: 7799139
    Abstract: Methods and associated structures of forming a microelectronic device are described. Those methods may include utilizing a cleaning mixture comprising a solvent such as ethylene glycol monopropyl ether, an inorganic base, an organic base, a copper corrosion inhibitor and a surfactant to clean at least one of a polymer residue, a organic sacrificial fill material and etched or un-etched photo resist from a Damascene structure of a microelectronic structure comprising a porous oxide dielectric.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: September 21, 2010
    Assignee: Intel Corporation
    Inventor: Steven Keating
  • Patent number: 7799749
    Abstract: Non-aqueous stripping and cleaning compositions for cleaning microelectronics devices, the composition having a least one organic sulfur-containing polar compound as a stripping solvent, at least one water-free source of a strong hydroxide base, and at least one hydroxypyridine stabilizing agent to inhibit detrimental side reactions.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: September 21, 2010
    Assignee: Mallinckrodt Baker, Inc.
    Inventor: Sean M. Kane
  • Patent number: 7795198
    Abstract: In a composition for removing a polymeric contaminant that may remain on an apparatus for manufacturing a semiconductor device and a method of removing a polymeric contaminant using the composition, the composition includes from about 5 to 10 percent by weight of a fluoride salt, from about 5 to 15 percent by weight of an acid or a salt thereof, and from about 75 to 90 percent by weight of an aqueous solution of glycol. The composition can effectively remove the polymeric contaminant from the apparatus within a relatively short period of time, and suppress damages to parts of the apparatus.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: September 14, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Mi Lee, Kwang-Shin Lim, Jung-Dae Park, Tae-Hyo Choi
  • Patent number: 7795197
    Abstract: This invention provides a novel cleaning liquid for lithography that, for a photoresist pattern, is used for reducing a surface defect, that is, defect, of a product, preventing pattern collapse during water rinsing, and further imparting electron beam irradiation resistance to a resist to suppress pattern shrinkage. Further, in the novel cleaning liquid for lithography, bacteria contamination does not occur during storage. The cleaning liquid for lithography comprises an aqueous solution containing an amine oxide compound represented by general formula wherein R1 represents an alkyl or hydroxyalkyl group having 8 to 20 carbon atoms which may be interrupted by an oxygen atom; and R2 and R3 represent an alkyl or hydroxyalkyl group having 1 to 5 carbon atoms.
    Type: Grant
    Filed: November 29, 2005
    Date of Patent: September 14, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshihiro Sawada, Kazumasa Wakiya, Jun Koshiyama, Atsushi Miyamoto, Hidekazu Tajima
  • Publication number: 20100221503
    Abstract: Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Application
    Filed: June 24, 2009
    Publication date: September 2, 2010
    Applicant: DYNALOY LLC
    Inventors: Kimberly Dona Pollard, John M. Atkinson, Raymond Chan, Michael T. Phenis, Allison C. Rector, Donald Pfettscher
  • Patent number: 7781145
    Abstract: Disclosed herein are photoresist cleaning solutions useful for cleaning a semiconductor substrate in the last step of a developing step when photoresist patterns are formed. Also disclosed herein are methods for forming photoresist patterns using the solutions. The cleaning solutions of the present invention include H2O as a primary component, a surfactant as an additive, and optionally an alcohol compound. The cleaning solution of the present invention has lower surface tension than that of distilled water which has been used for conventional cleaning solutions, thereby improving resistance to pattern collapse and stabilizing the photoresist pattern formation.
    Type: Grant
    Filed: November 5, 2007
    Date of Patent: August 24, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Geun Su Lee, Sam Young Kim, Keun Do Ban
  • Patent number: 7776808
    Abstract: The present invention provides a cleaning agent for removing the solder flux and method for cleaning the solder flux which exhibit the excellent cleaning property even at the time of cleaning a lead-free soldering flux, a high-melting-point solder flux or the like and, at the same time, exhibits the excellent rinsing property in the rinsing using an alcoholic solvent in a next step. Accordingly, the present invention provides a cleaning agent for removing the solder flux which sets a content of benzyl alcohol to a value which falls within a range of 70 to 99.9 weight % and a content of amino alcohol to a value which falls within a range of 0.1 to 30 weight % when a content of a glycol compound is below 1 weight % with respect to a total amount of the cleaning agent for removing the solder flux, and sets a content of benzyl alcohol to a value which falls within a range of 15 to 99 weight % and a content of amino alcohol to a value which falls within a range of 0.
    Type: Grant
    Filed: August 5, 2008
    Date of Patent: August 17, 2010
    Assignee: Kaken Tech Co., Ltd.
    Inventors: Shigeo Hori, Hisakazu Takahashi, Hirohiko Furui, Hiroki Nakatsukasa
  • Patent number: 7776755
    Abstract: The present disclosure provides a method for making metal gate stacks of a semiconductor device. The method includes applying a first etching process to the substrate to remove a polysilicon layer and a metal gate layer on the substrate; applying a diluted hydrofluoric acid (HF) to the substrate to remove polymeric residue; thereafter applying to the substrate with a cleaning solution including hydrochloride (HCl), hydrogen peroxide (H2O2) and water (H2O); applying a wet etching process diluted hydrochloride (HCl) to the substrate to remove a capping layer; and applying to the substrate with a second etching process to remove a high k dielectric material layer.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: August 17, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jr Jung Lin, Yih-Ann Lin, Ryan Chia-Jen Chen
  • Publication number: 20100203735
    Abstract: A residue-removing solution for removing residues present on semiconductor substrates after dry etching and/or ashing, the residue-removing solution comprising a Cu surface protective agent including: at least one compound selected from compounds (1), (2) and (3) each having as a basic skeleton a five-membered or six-membered heteratomic structure as defined herein; a compound capable of forming a complex or chelate with Cu (copper); and water. Further, the residue-removing solution has a pH of 4 to 9.
    Type: Application
    Filed: August 21, 2008
    Publication date: August 12, 2010
    Applicant: DAIKIN INDUSTRIES, LTD.
    Inventors: Shingo Nakamura, Takehiko Kezuka
  • Patent number: 7772173
    Abstract: A rinsing composition contains at least one water-soluble polymer selected from a water-soluble polysaccharide, polyvinyl alcohol, polyethylene oxide, polypropylene oxide, a copolymer of ethylene oxide and propylene oxide, and a hydrophilic polymer obtained by adding an alkyl group or an alkylene group to the copolymer. The rinsing composition can be advantageously used in rinsing polished silicon wafers.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: August 10, 2010
    Assignee: Fujimi Incorporated
    Inventor: Hiroyuki Nakagawa
  • Patent number: 7772174
    Abstract: Compositions useful for removing a polymer material from a substrate, such as an electronic device, and methods of using such compositions are provided. These compositions and methods reduce the corrosion of any underlying metal surfaces, and are particularly suited to remove polymer residues from electronic device substrates.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: August 10, 2010
    Assignee: SKC Haas Display Films Co., Ltd.
    Inventor: Shinji Satoh
  • Publication number: 20100197136
    Abstract: A composition for cleaning and corrosion inhibition which is used in a step of manufacturing a semiconductor device or a display device having a copper-containing metallic wiring is provided, wherein the corrosion inhibitor component is any one of pyrazole, a pyrazole derivative such as 3,5-dimethylpyrazole, a triazole derivative such as 1,2,4-triazole, an aminocarboxylic acid such as iminodiacetic acid or ethylenediaminedipropionic acid hydrochloride, or a disulfide compound such as diisopropyl disulfide or diethyl disulfide; and the cleaning agent component is any one of ammonium fluoride, tetramethylammonium fluoride, ammonium acetate, acetic acid, glyoxylic acid, oxalic acid, ascorbic acid, 1,2-diaminopropane or dimethylacetamide. Also, a method for manufacturing a semiconductor device or the like using the composition for cleaning and corrosion inhibition is provided.
    Type: Application
    Filed: July 3, 2008
    Publication date: August 5, 2010
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Kenji Shimada, Hiroshi Matsunaga, Kojiro Abe, Kenji Yamada
  • Patent number: 7767636
    Abstract: Nanoelectronic and microelectronic cleaning corn positions for cleaning nanoelectronic and microelectronic substrates under supercritical fluid state conditions, and particularly cleaning compositions useful with and having improved compatibility with nanoelectronic and microelectronic substrates characterized by silicon dioxide, sensitive low-n or high-K dielectrics and copper, tungsten, tantalum, nickel, gold, cobalt, palladium, platinum, chromium, ruthenium, rhodium, iridium, hafnium, titanium, molybdenum, tin and other metallization, as well as substrates of A1 or Al(Cu) metallizations and advanced interconnect technologies, are provided by nanoelectronic and microelectronic cleaning compositions.
    Type: Grant
    Filed: February 11, 2005
    Date of Patent: August 3, 2010
    Assignee: Mallinckrodt Baker, Inc.
    Inventor: Chien-Pin Sherman Hsu
  • Patent number: 7767581
    Abstract: The polishing fluid is useful for polishing tantalum-containing barrier materials of a semiconductor substrate. The polishing fluid includes a nitrogen-containing compound having at least two nitrogen atoms comprising imine compounds and hydrazine compounds. The nitrogen-containing compound is free of electron-withdrawing substituents; and the polishing fluid is capable of removing the tantalum-containing barrier materials from a surface of the semiconductor substrate without an abrasive.
    Type: Grant
    Filed: May 19, 2006
    Date of Patent: August 3, 2010
    Assignee: Rohm and Haas Electronic Materials MCP Holdings, Inc.
    Inventor: Jinru Bian
  • Patent number: 7763577
    Abstract: An acidic post-CMP cleaning composition includes at least one polyamino-polycarboxylic acid, or salt thereof; at least one hydroxycarboxylic acid, or salt thereof; and the remainder being substantially water. The acidic cleaning composition also includes a surfactant. The acidic post-CMP cleaning composition has a pH of 1 to 5, and is useful for removing the contaminants from the wafer surface after a CMP process without making roughness worse.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: July 27, 2010
    Assignee: Uwiz Technology Co., Ltd.
    Inventors: Song-Yuan Chang, Ming-Hui Lu, Wen-Jsai Jsai, Po-Yuan Shen
  • Patent number: 7763549
    Abstract: A method of manufacturing a semiconductor device of the present invention has the steps of forming a pattern made of a processed film or a resist on a substrate, washing the pattern with a washing liquid which is a liquid including at least water, spreading an amphiphilic material that has a hydrophilic group and a hydrophobic group on the surface of the washing liquid remaining on the substrate after washing the pattern, and drying the substrate to remove the washing liquid on the substrate after spreading the amphiphilic material. When moisture is removed in the drying step, molecules of the amphiphilic material are spread on the surface of the washing liquid, so that the surface tension of the washing liquid is reduced to prevent the pattern from inclining.
    Type: Grant
    Filed: March 31, 2006
    Date of Patent: July 27, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Fumitake Tagawa
  • Publication number: 20100180917
    Abstract: The object of the present invention is to provide a novel cleaner composition that not only reduces ignition by flame and has a small influence on the environment, but that also has an excellent property of dissolving flux residues adhered on narrow portions or in narrow gaps in an object to be cleaned that was subjected to soldering with a lead-free solder, and reduces recontamination of the object in the water-rinsing process. The present invention uses a halogen-free organic solvent (A) represented by a specific Formula; an amine-based compound (B) represented by a specific Formula; a chelating agent having no amino group (C); and, as required, water.
    Type: Application
    Filed: August 8, 2008
    Publication date: July 22, 2010
    Applicant: ARAKAWA CHEMICAL INDUSTRIES, LTD.
    Inventors: Takashi Tanaka, Kazutaka Zenfuku
  • Publication number: 20100179085
    Abstract: Inventive methods, systems and compositions of cleaning integrated circuit (“IC”) substrates are described. The cleaning methods of the present invention include: charging a solution, which contains at least a solute selected to promote cleaning of the IC substrate, to produce a charged solution, such that at least a portion of the solute is present as clusters in the charged solution; and conveying the charged solution for cleaning the IC substrate. The cleaning systems of the present invention include: a charging chamber for holding a solution, which contains at least a solute selected to promote cleaning of the integrated circuit substrate; and a first acoustic energy source capable of vibrating the solution in the charging chamber to produce a charged solution such that at least a portion of the solute is present as clusters in the charged solution.
    Type: Application
    Filed: July 15, 2009
    Publication date: July 15, 2010
    Inventor: Suraj Puri
  • Patent number: 7754668
    Abstract: The invention provides cleaning compositions for cleaning microelectronic substrates that are able to essentially completely clean such substrates and inhibit metal corrosion or produce essentially no corrosion of the metal elements of such substrates, and to do so at relatively short cleaning times and relatively low temperatures compared to the cleaning times required for prior art alkaline-containing cleaning compositions. The invention also provides method of using such cleaning compositions to clean microelectronic substrates without producing any significant corrosion of the metal elements of the microelectronic substrate. The cleaning compositions of this invention comprise (a) at least one organic solvent, (b) at least one unneutralized inorganic phosphorus-containing acid, and (c) water. The cleaning compositions of this invention optionally can have present in the compositions other components, such as for example surfactants, metal complexing or chelating agents, corrosion inhibitors, and the like.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: July 13, 2010
    Assignee: Mallinckrodt Baker. Inc
    Inventor: Sean M. Kane
  • Publication number: 20100167972
    Abstract: To provide a cleaning solution for a substrate for a semiconductor device which is excellent in the ability to remove particles, organic contaminants, metal contaminants and composite contaminants of an organic matter and a metal attached on a substrate surface, whereby the substrate surface can be highly cleaned, without being corroded. Particularly, to provide a cleaning solution which is excellent in the ability to clean low dielectric constant (Low-k) materials on which liquid is easily repelled due to hydrophobic and of which the ability to remove particles is poor. A cleaning solution for a substrate for a semiconductor device, which comprises the following components (A) and (B): (A) an organic acid (B) a nonionic surfactant having an HLB value of from 5 to less than 13.
    Type: Application
    Filed: May 16, 2008
    Publication date: July 1, 2010
    Applicant: Mitsubishi Chemical Corporation
    Inventors: Yasuhiro Kawase, Makoto Ikemoto, Atsushi Itou, Matoko Ishikawa
  • Publication number: 20100167535
    Abstract: A cleaning agent used after chemical mechanical polishing of a semiconductor device, the cleaning agent including a polycarboxylic acid and diethylenetriamine pentaacetic acid, the semiconductor device including a copper diffusion barrier film and copper wiring on an interlayer dielectric film, and the dielectric film containing SiOC and having a dielectric constant of 3.0 or less.
    Type: Application
    Filed: December 21, 2009
    Publication date: July 1, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Yoshinori NISHIWAKI, Tomonori TAKAHASHI, Kazutaka TAKAHASHI
  • Publication number: 20100160200
    Abstract: The invention provides a cleaning liquid for semiconductor devices which is capable of removing deposits on a surface of an object to be cleaned including a photoresist, an antireflective film, an etching residue and an ashing residue at a low temperature in a short period of time with reduced environmental burdens and without causing corrosion of an interlayer dielectric film, a metal, a metal nitride, and an alloy in the object to be cleaned. The cleaning liquid for semiconductor devices according to the invention contains a reducing agent and a surfactant and has a pH of 10 to 14.
    Type: Application
    Filed: September 16, 2009
    Publication date: June 24, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroyuki Seki, Katsuyuki Nukui, Tadashi Inaba, Hideo Fushimi
  • Patent number: 7741260
    Abstract: The invention provides a novel rinsing fluid which can convert an easily wettable resist pattern surface having a contact angle of 40° or below into one having a contact angle of 70° or above to inhibit pattern collapse effectively and thereby give high-quality products.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: June 22, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Kazumasa Wakiya, Fumitake Kaneko, Atsushi Miyamoto, Hidekazu Tajima, Yoshihiro Sawada
  • Publication number: 20100152085
    Abstract: A cleaning solution for semiconductor devices or display devices containing a polyamine of a specified structure having two or more amino groups in adjacent positions of a carbon chain or a salt thereof and a cleaning method of semiconductor devices or display devices using the subject cleaning solution are provided. The cleaning solution for semiconductor devices or display devices of the present invention has high safety, brings a little burden on the environment and is able to easily remove etching residues on a semiconductor substrate in a short time; on that occasion, it is possible to achieve microfabrication without utterly corroding wiring materials; and furthermore, rinsing can be achieved with only water without necessity for use of, as a rinse solution, an organic solvent such as alcohols.
    Type: Application
    Filed: November 24, 2006
    Publication date: June 17, 2010
    Inventors: Kenji Shimada, Kojiro Abe
  • Publication number: 20100152086
    Abstract: The present invention is a formulation for wet clean removal of post etch and ash residue from a semiconductor substrate having a CoWP feature, comprising; Deionized water; Organic acid; Amine and/or quaternary ammonium hydroxide; wherein the formulation is compatible with the CoWP feature and either (a) the molar ratio of amine and/or quaternary ammonium hydroxide to organic acid provides a pH in the range of 7-14; or (b) the formulation includes a corrosion inhibitor. A method of using the formulation is also described.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 17, 2010
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Madhukar Bhaskara Rao, Eugene C. Baryschpolec
  • Patent number: 7737097
    Abstract: A method is provided for removing contamination from a substrate. The method includes applying a cleaning solution having a dispersed phase, a continuous phase and particles dispersed within the continuous phase to a surface of the substrate. The method includes forcing one of the particles dispersed within the continuous phase proximate to one of the surface contaminants. The forcing is sufficient to overcome any repulsive forces between the particles and the surface contaminants so that the one of the particles and the one of the surface contaminants are engaged. The method also includes removing the engaged particle and surface contaminant from the surface of the substrate. A process to manufacture the cleaning material is also provided.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: June 15, 2010
    Assignee: Lam Research Corporation
    Inventors: Erik M. Freer, John M. de Larios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Patent number: 7732393
    Abstract: The present invention provides a chemical-mechanical polishing (CMP) composition comprising an amino compound, a radical-forming oxidizing agent, a radical trapping agent capable of inhibiting radical-induced oxidation of the amino compound, and an aqueous carrier therefore. The radical trapping agent is a hydroxyl-substituted polyunsaturated cyclic compound, a nitrogenous compound, or a combination thereof. Optionally, the composition comprises a metal oxide abrasive (e.g., silica, alumina, titania, ceria, zirconia, or a combination of two or more of the foregoing abrasives). The invention further provides a method of chemically-mechanically polishing a substrate with the CMP compositions, as well as a method of enhancing the shelf-life of CMP compositions containing an amine and a radical-forming oxidizing agent, in which a radical trapping agent is added to the CMP composition.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: June 8, 2010
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven K. Grumbine, Renjie Zhou, Zhan Chen, Phillip W. Carter
  • Publication number: 20100130013
    Abstract: A CMP method for polishing a phase change alloy on a substrate surface including positioning the substrate comprising a phase change alloy material on a platen containing a polishing pad and delivering a polishing slurry to the polishing pad. The polishing slurry includes colloidal particles with a particle size less than 60 nm, in an amount between 0.2% to about 10% by weight of slurry, a pH adjustor, a chelating agent, an oxidizing agent in an amount less than 1% by weight of slurry, and polyacrylic acid. The substrate on the platen is polished to remove a portion of the phase change alloy. A rinsing solution for rinsing the substrate on the platen includes deionized water and at least one component in the deionized water where the component selected from the group consisting of polyethylene imine, polyethylene glycol, polyacrylic amide, alcohol ethoxylates, polyacrylic acid, an azole containing compound, benzo-triazole, and combinations thereof.
    Type: Application
    Filed: November 19, 2009
    Publication date: May 27, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Feng Q. Liu, Alain Duboust, Wen-Chiang Tu, Chenhao Ge, Kun Xu, Yuchun Wang, Yufei Chen
  • Patent number: 7723280
    Abstract: Compositions and methods useful for the removal of polymeric material from substrates, such as electronic devices are provided. These compositions and methods are particularly suitable for removing polymer residues from electronic devices following plasma etch processes.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: May 25, 2010
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert L. Brainard, Robert L. Auger, Joseph F. Lachowski