Performance Monitoring Patents (Class 700/108)
  • Patent number: 8467895
    Abstract: An operating method is disclosed for a processing system that comprises multiple process modules each adapted to perform substantially the same process upon a substrate. During process module conditioning as a preparatory step for executing a required process recipe, each time one process module completes conditioning, successive transfer of unprocessed substrates from a cassette to the process module is started on an associated substrate transfer route, and successive processes that use the process module are started for the unprocessed substrates. The processing system can be operated efficiently, even if the nonuniformity of the conditioning time required exists between process modules of the same specifications.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Sekido, Hirofumi Yamaguchi, Bae Junghwan
  • Publication number: 20130150996
    Abstract: A machine for use in assembling a vehicle is described herein. The vehicle includes at least a first component and a second component adapted to be coupled to the first component to form a component assembly. The machine includes at least one component tooling apparatus that is configured to selectively adjust an orientation of the second component with respect to the first component. A control system is coupled to the at least one component tooling apparatus. The control system includes a processor that is configured to receive a unique vehicle identifier associated with the component assembly, and determine a design orientation of the second component with respect to the first component based at least in part on the received unique vehicle identifier.
    Type: Application
    Filed: December 8, 2011
    Publication date: June 13, 2013
    Applicant: HONDA MOTOR CO., LTD.
    Inventors: Joseph Xavier, Greg Camp, George Branch
  • Patent number: 8463422
    Abstract: An extruder or injection molding machine with user-specifically monitoring and regulating processing of plastic materials includes a material feed, a plasticizer, and a mold. A process input variable, e.g. cost of the apparatus, raw material, power, and processed quantity, can be inputted with an input device and transmitted to a controller. A process monitoring variable, e.g. the duration of a product cycle, product cost, raw material used/power consumed per product cycle, expected purchase price for a given quantity of a product, and number of product cycles until maintenance, can be determined in real time from a process control variable and/or process input variable. A value of a process monitoring variable, such as the actual desired, or mean value, the value integrated since starting, the history or tendency of this value, can be outputted on an output device in form of a characteristic diagram.
    Type: Grant
    Filed: June 26, 2007
    Date of Patent: June 11, 2013
    Assignee: KraussMaffei Technologies GmbH
    Inventors: Markus Betsche, Günther Grimm, Arno Wyrwoll
  • Patent number: 8463417
    Abstract: A method performed by one or more computer processors imports data from a machinery monitoring system into a control system. The machinery monitoring system is a system that measures machine measurement parameters of a machine, and the control system is a system that controls processes in which the machine performs a function. The method includes accessing a first knowledge base containing information about communicating with the machinery monitoring system, and accessing a second knowledge base containing information about communicating with the control system. The method also includes extracting configuration information from the machinery monitoring system via a communication bus. Information is entered by a user for use in creating an import configuration file, which file is used in preparing the control system to receive data from the machinery monitoring system. The entering of information is performed via a user interface operatively connected to the one or more computer processors.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 11, 2013
    Assignee: CSI Technology, Inc.
    Inventors: Kevin D. Steele, Anthony J. Hayzen, Michael D. Rich, Deane M. Horn
  • Publication number: 20130144419
    Abstract: A system and method for monitoring a process tool of an integrated circuit manufacturing system are disclosed. An exemplary method includes defining zones of an integrated circuit manufacturing process tool; grouping parameters of the integrated circuit manufacturing process tool based on the defined zones; and evaluating a condition of the integrated circuit manufacturing process tool based on the grouped parameters.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Po-Feng Tsai, Chia-Tong Ho, Sunny Wu, Jo Fei Wang, Jong-I Mou, Chin-Hsiang Lin
  • Publication number: 20130144421
    Abstract: Systems and are disclosed for controlling the temperature of bearings in a wire saw machine. The systems described herein are generally operable to alter the nanotopology of wafers sliced from an ingot by controlling the shape of the wafers. The shape of the wafers is altered by controlling the temperature of bearings in the wire saw by changing the temperature and/or flow rate of a temperature-controlling fluid circulated in fluid communication with bearings supporting wire guides of the saw. Different feedback systems can be used to determine the temperature of the fluid necessary to generate wafers having the desired shape and/or nanotopology.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: MEMC ELECTRONIC MATERIALS, SPA
    Inventors: Carlo Zavattari, Ferdinando Severico, Sumeet S. Bhagavat, Gabriele Vercelloni, Roland R. Vandamme
  • Publication number: 20130144420
    Abstract: Systems are disclosed for controlling the surface profiles of wafers cut in a wire saw machine. The systems and methods described herein are generally operable to alter the nanotopology of wafers sliced from an ingot by controlling the shape of the wafers. The shape of the wafers is altered by changing the temperature and/or flow rate of a temperature-controlling fluid circulated in fluid communication with bearings supporting wire guides of the saw. Different feedback systems can be used to determine the temperature of the fluid necessary to generate wafers having the desired shape and/or nanotopology.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: MEMC ELECTRONIC MATERIALS, SPA
    Inventors: Carlo Zavattari, Ferdinando Severico, Sumeet S. Bhagavat, Gabriele Vercelloni, Roland R. Vandamme
  • Patent number: 8457893
    Abstract: Methods and apparatus for generating electronic records of marking operations for underground facilities/utilities. Electronic records of marking information may be logged/stored in local memory of a marking device, formatted in various manners, processed and/or analyzed at the marking device itself, and/or transmitted in whole or in part to another device (e.g., a remote computer/server) for storage, processing and/or analysis. In one example, service-related information and/or ticket information is collected and logged into an electronic record of a marking operation. Such information may in some cases be logged together with actuation data based on one or more actuations of a marking device (e.g., a trigger-pull to dispense marking material).
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: June 4, 2013
    Assignee: Certusview Technologies, LLC
    Inventors: Steven E. Nielsen, Curtis Chambers, Jeffrey Farr
  • Publication number: 20130138236
    Abstract: A trajectory control device controlling a trajectory of a movable portion includes a servo-system response-trajectory calculation unit that computes a servo-system response trajectory based on a position command of each movable axis, a shape-feature determination unit that outputs a shape feature amount including information of a position of a boundary point in a path shape and a running direction near the boundary point based on a determination from the position command whether the shape of the commanded path is straight or curved line, a position-vector correction unit that corrects a position vector based on the position command, the servo-system response trajectory and the shape feature amount, and outputs a corrected position command, and servo control units that control a motor of each movable axis by outputting a motor drive torque so that a position of each movable axis follows the corrected position command.
    Type: Application
    Filed: July 28, 2011
    Publication date: May 30, 2013
    Applicant: Mitsubishi Electric Corporation
    Inventor: Kotaro Nagaoka
  • Patent number: 8452439
    Abstract: A method comprises computing respective regression models for each of a plurality of failure bins based on a plurality of failures identified during wafer electrical tests. Each regression model outputs a wafer yield measure as a function of a plurality of device performance variables. For each failure bin, sensitivity of the wafer yield measure to each of the plurality of device performance variables is determined, and the device performance variables are ranked with respect to sensitivity of the wafer yield measure. A subset of the device performance variables which have highest rankings and which have less than a threshold correlation with each other are selected. The wafer yield measures for each failure bin corresponding to one of the selected subset of device performance variables are combined, to provide a combined wafer yield measure. At least one new process parameter value is selected to effect a change in the one device performance variable, based on the combined wafer yield measure.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: May 28, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sunny Wu, Chun-Hsien Lin, Kun-Ming Chen, Dung-Yian Hsieh, Hui-Ru Lin, Jo Fei Wang, Jong-I Mou, I-Ching Chu
  • Patent number: 8442802
    Abstract: A method of diagnosing an air conditioner and mobile terminal equipment for performing the method are provided. The method of diagnosing the air conditioner using mobile terminal equipment includes beginning diagnosis of the air conditioner, displaying a diagnostic result of the air conditioner on a screen, and displaying a countermeasure for the diagnostic result.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: May 14, 2013
    Assignee: LG Electronics Inc.
    Inventors: Dongwon Sung, Deok Huh, Jiwoong Kim, Gilbong Lee
  • Publication number: 20130116813
    Abstract: An operating and monitoring apparatus for monitoring an operating condition of a plant through a monitoring screen of the present disclosure. The apparatus includes a storage unit which stores a plurality of display screens to be displayed on the monitoring screen; a receipt unit which receives an operation of selecting one display screen among the display screens stored in the storage unit; and a display unit which displays the display screen, selected through the receipt unit, on the monitoring screen. The display screens stored in the storage unit includes, for example, five to nine display elements, and the display elements are, for example, graphs or patterns associated with numerical values based on data acquired from the plant.
    Type: Application
    Filed: November 9, 2012
    Publication date: May 9, 2013
    Applicant: YOKOGAWA ELECTRIC CORPORATION
    Inventor: YOKOGAWA ELECTRIC CORPORATION
  • Patent number: 8437870
    Abstract: System and method for implementing a VM APC platform are described. In one embodiment, the VM APC system comprises a process tool for processing a plurality of wafers, a metrology tool for measuring a sample wafer of the plurality of wafers and generating actual metrology data therefor, and a VM model for predicting metrology data for each of the plurality of wafers. The actual metrology data is received from the metrology tool and used to update the VM model. Key variables of the virtual metrology model are updated only in response to a determination that the VM model is inaccurate and parameters of the VM model are updated responsive to receipt of the actual metrology data for the sample wafer of the plurality of wafers. The system also includes an APC controller for receiving the predicted metrology data and the actual metrology data and controlling an operation of the process tool based on the received data.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: May 7, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Feng Tsai, Andy Tsen, Jin-Ning Sung
  • Publication number: 20130110274
    Abstract: A process controller a procedure module that includes instructions for executing a procedure, and a plurality of supplemental procedure modules, each of which includes instructions for executing one of a plurality of supplemental procedures. The process controller also includes a process monitor processor configured to receive an input electronic signal indicative of a status of a process feature, apply logic based on the input electronic signal, and generate an output electronic signal in response to the input electronic signal. The process controller also includes a sequence engine processor configured to execute the procedure, receive the output electronic signal, apply logic based on the output electronic signal, select one or more of the plurality of supplemental procedures based on the received output electronic signal, and execute the selected one or more of the plurality of supplemental procedures.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 2, 2013
    Applicant: ROCKWELL AUTOMATION TECHNOLOGIES, INC.
    Inventors: Paul R. D'Mura, Kenneth S. Plache, Michael D. Kalan, Kenwood H. Hall, Sujeet Chand
  • Patent number: 8433433
    Abstract: A system and a method for determining whether an ultrasonic horn is aligned with an anvil of an ultrasonic welding system are provided. The first and second accelerometer sensors generate first and second signals indicative of first and second accelerations of first and second positions on a bracket coupled to the anvil when the ultrasonic horn is vibrating and contacting the anvil. The system further includes a microprocessor that receives the first and second signals. The microprocessor determines a difference between an amplitude of the first signal at a first time and an amplitude of the second signal at the first time. The microprocessor stores a first identifier value in a memory device indicating that the ultrasonic horn is aligned with the anvil if the difference between the amplitude of the first signal and the amplitude of the second signal is less than or equal to a threshold value.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: April 30, 2013
    Assignee: LG Chem, Ltd.
    Inventor: Alex Khakhalev
  • Patent number: 8428849
    Abstract: Setting values of a fuel injection quantity for an engine having an exhaust gas recirculator (EGR) and variable nozzle turbo (VNT), and an engine speed, and measurement values of a manifold air pressure (MAP) and a mass air flow (MAF) are obtained. According to a combination of the reference values of an EGR valve opening degree and a VNT nozzle opening degree, which correspond to the setting values, or a combination of a control value of the EGR valve opening degree by a MAF controller and a control value of the VNT nozzle opening degree by a MAP controller, which correspond to the measurement values, a mode that the MAF and MAP controllers, a first interference compensator from the MAF controller to the MAP controller and a second interference compensator for the reverse direction are enabled and a mode that the MAF or MAP controller is enable are dynamically switched.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: April 23, 2013
    Assignee: Fujitsu Limited
    Inventors: Tsugito Maruyama, Arata Ejiri
  • Publication number: 20130096710
    Abstract: A system and method of manufacturing solar panels whereby parameters about how each cell, each array and each panel are recorded in a database or electronic memory. The cells, arrays and panels are also provided an identification, such as a bar code, to allow for subsequent retrieval of the parameters. The electronic memory is arranged so that different cells, arrays and panels that share the same parameters can be identified.
    Type: Application
    Filed: October 17, 2011
    Publication date: April 18, 2013
    Applicant: SoloPower, Inc.
    Inventors: Mustafa Pinarbasi, Howard Zolla, Serkan Erdemli
  • Patent number: 8423168
    Abstract: A method and system of specifying a device that is the root cause of impeding productivity of a production line in consideration of even mutual influence among processes of production fluctuation with respect to the subject of specifying a device in which productive capacity is reduced due to a problem that the productive capacity of the device is changed due to production that one machine works for multiple process and a problem that the productive capacity of device is changed due to high product mix and low product volume production. A measure for changing productive capacity of devices intentionally and simulating influence to the whole production system, a measure for measuring mutual influence among processes of production fluctuation produced by the simulation and a measure for specifying a device that is the root cause of impeding the productivity on the basis of the measured result are provided.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: April 16, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Yoichi Nonaka, Lengyel Attila
  • Patent number: 8412368
    Abstract: A method includes generating a reticle transport job using a computing device. The reticle job identifies a selected reticle. A reticle pod available for transporting the reticle is autonomously identified using the computing device. The reticle transport job is updated suing the computing device with an identifier of the reticle pod.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: April 2, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Diwaskar Adhikari, Raymond G. Goss
  • Patent number: 8410931
    Abstract: Embodiments of the present invention are generally directed to mobile inventory unit monitoring systems and methods. An exemplary embodiment of the present invention provides a mobile inventory unit monitoring system comprising a computer configured to execute at least one computer program, at least one wireless transmitter located proximate to a mobile inventory unit, a plurality of radio-frequency transceivers dispersed geographically at defined locations, and a gateway connected to a wide area network. Select information can be transmitted from the transmitter proximate to the mobile inventory unit, through a transceiver in the plurality of transceivers, through the gateway, and to the wide area network. Other aspects, features, and embodiments are also claimed and described.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: April 2, 2013
    Assignee: SIPCO, LLC
    Inventors: Thomas David Petite, Richard M Huff
  • Patent number: 8406912
    Abstract: System and method for data mining and feature tracking for fab-wide prediction and control are described. One embodiment is a system comprising a database for storing raw wafer manufacturing data; a data mining module for processing the raw wafer manufacturing data to select the best data therefrom in accordance with at least one of a plurality of knowledge-, statistic-, and effect-based processes; and a feature tracking module associated with the data mining module and comprising a self-learning model wherein a sensitivity of the self-learning model is dynamically tuned to meet real-time production circumstances, the feature tracking module receiving the selected data from the data mining module and generating prediction and control data therefrom; wherein the prediction and control data are used to control future processes in the wafer fabrication facility.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: March 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jui-Long Chen, Chia-Tong Ho, Po-Feng Tsai, Hui-Yun Chao, Jong-I Mou
  • Patent number: 8406911
    Abstract: A method and apparatus are provided for implementing Advanced Process Control (APC) for enhanced electrical, magnetic, or physical properties process output control using a sequential segmented interleaving algorithm. The sequential segmented interleaving algorithm includes two tuning equations running in parallel. A deposition time is calculated after a production run based upon the relationship between the electrical, magnetic, or physical properties process output and deposition time process input. A deposition rate offset value is calculated after a calibration run based upon the relationship between a calibration deposition thickness process output and an updated deposition time process input calculated after a last production run.
    Type: Grant
    Filed: July 16, 2010
    Date of Patent: March 26, 2013
    Assignee: HGST Netherlands B.V.
    Inventors: Shreyas Subhash Limaye, Andrew Crehan Walker, Yeheyis Workeneh
  • Publication number: 20130073071
    Abstract: Laser cutting systems and methods are described herein. One or more systems include a laser generating component, an optical component, a fixture for holding a support with a part positioned on the support, and a control mechanism for adjusting at least one of the laser generating component, the optical component, and the fixture such that a ratio of a laser energy applied to the part and a part material thickness is maintained within a predetermined acceptable range at each point along a cut path to cut through the part while maintaining the integrity of the support. Other systems and methods are disclosed herein.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 21, 2013
    Applicant: Align Technology,Inc.
    Inventor: James C. Culp
  • Patent number: 8400309
    Abstract: A system and method of monitoring compliance with a hygiene protocol is disclosed. The movement of person within or otherwise associated with a facility may be tracked and hygiene requirements may be imposed based on the movement. In monitoring hygiene compliance, a number of hygiene levels may be defined that are associated with difference hygiene requirements. A hygiene level may be associated with an individual and that level may be raised or lowered based on hygiene related activity associated with the individual. An individual's hygiene level and/or his compliance with multi-level hygiene requirements may be tracked through the use of monitored hygiene stations and/or location tracking stations.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: March 19, 2013
    Assignee: Resurgent Health & Medical, LLC
    Inventors: James Glenn, Paul R. Barnhill, Timothy Prodanovich, Thomas M. Johannsen, Stephan Jerome Heim, Douglas W. Swartz
  • Patent number: 8392009
    Abstract: The present disclosure provides a semiconductor manufacturing method. The method includes performing a first process to a first plurality of semiconductor wafers; determining a sampling rate to the first plurality of semiconductor wafers based on process quality; determining sampling fields and sampling points to the first plurality of semiconductor wafers; measuring a subset of the first plurality of semiconductor wafers according to the sampling rate, the sampling fields and the sampling points; modifying a second process according to the measuring; and applying the second process to a second plurality of semiconductor wafers.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: March 5, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wang Jo Fei, Andy Tsen, Ming-Yu Fan, Jill Wang, Jong-I Mou
  • Patent number: 8391998
    Abstract: The invention relates to a method for controlling and/or regulating an industrial process for producing or processing products, wherein a physicomathematical model of the industrial process is formed, with which control parameters for controlling or regulating the industrial process are calculated during the production or processing of the product, a number of measurement values is detected, and the model is corrected with a number of primary correction factors, the number of primary correction factors being equal to the number of measurement values. The method is characterized in that the model is corrected with a number of secondary correction factors, such as with a correction factor reflecting the speed of the phase conversion in the rolling mill to be cooled, and in that the number of all correction factors is greater than the number of all measurement values, wherein at least the secondary correction factors are calculated numerically.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: March 5, 2013
    Assignee: Siemens Aktiengesellschaft
    Inventors: Klaus Weinzierl, Klaus Franz, Stefan Schmors
  • Publication number: 20130053998
    Abstract: A method of controlling an air separation plant and a control system to optimize production of an argon product produced by the plant. A computer program is continually executed that has models of each column of the plant, a condenser reboiler and an argon reflux condenser. The models contain stage models of each stage of separation within each of the columns that when assembled are able to calculate current values of controlled variables in response to input variables applied to the models. The controlled variables serve as an input to a controller that controls manipulated variables comprising flow rates of an air feed stream to the air separation plant, a product oxygen stream removed from the lower pressure column and the crude argon feed stream such that the controlled variables are within a targeted range selected to optimize the production of the argon product.
    Type: Application
    Filed: August 25, 2011
    Publication date: February 28, 2013
    Inventors: Ashish Singhal, Stephane Blouin
  • Patent number: 8386063
    Abstract: A foreign matter detection unit detects an entry of an unexpected foreign matter that is other than the substrates by light transmitting optical sensors 7 having light projecting portions 7a and light receiving portions 7b at opening sections 3a, 3b formed in cover members 2 through which the substrates pass. By executing an emergency stop processing, when the entry of the foreign matter is detected at one of the opening sections, to stop the electronic component mounting apparatus that is adjacent to the opening section at which the entry of the foreign matter is detected, safety of the machine operators is properly ensured in check and confirmation works conducted at the time of machine troubles etc even in an electronic component assembling line in which the small-sized thin unit apparatuses are combined.
    Type: Grant
    Filed: December 26, 2008
    Date of Patent: February 26, 2013
    Assignee: Panasonic Corporation
    Inventor: Yuji Ogata
  • Patent number: 8380335
    Abstract: An apparatus includes at least one memory configured to store application information associated with multiple process control applications used to control an industrial process. The application information includes multiple boundaries associated with the process control applications. Each boundary is associated with at least one of multiple hierarchical levels. The apparatus also includes at least one processing device configured to alter the association of the boundaries with the hierarchical levels independent of the process control applications. The hierarchical levels associated with different levels of criticality regarding the industrial process. In addition, the apparatus includes at least one interface configured to receive data from and transmit data to the process control applications.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: February 19, 2013
    Assignee: Honeywell International Inc.
    Inventors: Douglas P. Metzger, James R. Christian
  • Patent number: 8364300
    Abstract: A software agent is described that receives an information request to retrieve information based on a name defined by a configured manufacturing data model. The agent serves the request by relating data coming from one or multiple backend systems and adding contextual data (Metadata). A result set is prepared to correspond to the format and filtering criteria defined in the information request, and the agent produces a response in a normalized format. The response contains the requested data and metadata used for navigation and contextualization purposes. The response in the normalized format is transmitted by the agent synchronously or asynchronously based on criteria specified in the request.
    Type: Grant
    Filed: October 5, 2009
    Date of Patent: January 29, 2013
    Assignee: Invensys Systems, Inc.
    Inventors: Nicolas Pouyez, Keynon Basinger, Nicholas Beets, Stephane Bischoff, James Eric O'Hearn, Ravi Kumar Herunde Prakash, Patrick Parsy, Christian-Marc Pouyez
  • Patent number: 8359116
    Abstract: A monitoring system includes a control circuit configured to determine scrap values, yield values, and remainder values for at least a first operation and a second operation. The control circuit is configured to transmit one or more display signals. The one or more display signals include instructions to display a first operation status bar and a second operation status bar. The first operation status bar includes a first operation yield value, a first operation scrap value, and a first operation remainder value. The second operation status bar includes a second operation yield value, a second operation scrap value, and a second operation remainder value.
    Type: Grant
    Filed: September 11, 2009
    Date of Patent: January 22, 2013
    Assignee: SAP AG
    Inventor: Arne Manthey
  • Patent number: 8359117
    Abstract: Provided is a substrate processing system configured to provide proper data. The substrate processing system comprises a substrate processing apparatus comprising a plurality of components, a controller configured to control the substrate processing apparatus by setting a sequence prescribing time and components, and a collection unit configured to collect data from the components. The collection unit is configured to match data collected from the components via the controller with data collected directly from the components.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: January 22, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Norihiko Kataoka, Shinichiro Mori
  • Patent number: 8355808
    Abstract: It is possible to compose a chart by filtering measurement information using a product wafer number in a server device including: an instruction receiving unit for storing therein plural measurement information, which is time sequential information measured in a plurality of manufacturing apparatuses and has a product wafer number and time information, and for receiving an output instruction of a chart containing the product wafer number; a fault detection unit for, when the instruction receiving unit receives the output instruction, reading a multiplicity of measurement information satisfying a product wafer number condition contained in the output instruction, and determining whether the read multiplicity of measurement information satisfies the condition information; an output information composing unit for composing the output information according to a determination result of the fault detection unit; and an output unit for outputting the output information composed by the output information composing un
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: January 15, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Takumi Inokawa, Noriaki Koyama
  • Patent number: 8355807
    Abstract: One embodiment of the present invention provides techniques and systems for modeling mask errors based on aerial image sensitivity. During operation, the system can receive an uncalibrated process model which includes a mask error modeling term which is based at least on an aerial image sensitivity to mask modifications which represent mask errors. Next, the system can fit the uncalibrated process model using measured CD data. Note that the mask error modeling term can also be dependent on the local pattern density. In some embodiments, the mask error modeling term can include an edge bias term and a corner rounding term. The edge bias term can be based on the sensitivity of the aerial image intensity to an edge bias, and the corner rounding term can be based on the sensitivity of the aerial image intensity to a corner rounding adjustment.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: January 15, 2013
    Assignee: Synopsys, Inc.
    Inventors: Yongfa Fan, JenSheng Huang
  • Patent number: 8352062
    Abstract: A method for fabricating a integrated circuit with improved performance is disclosed. The method comprises providing a substrate; performing a plurality of processes to form a gate stack over the substrate, wherein the gate stack comprises a gate layer; measuring a grain size of the gate layer after at least one of the plurality of processes; determining whether the measured grain size is within a target range; and modifying a recipe of at least one of the plurality of processes if the measured grain size of the gate layer is not within the target range.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: January 8, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Jen Wu, Chen-Ming Huang, An-Chun Tu
  • Patent number: 8352216
    Abstract: A method for advanced condition monitoring of an asset system includes sensing actual values of an operating condition for an operating regime of the asset system using at least one sensor; estimating sensed values of the operating condition by using an auto-associative neural network; determining a residual vector between the estimated sensed values and the actual values; and performing a fault diagnostic on the residual vector. In another method, an operating space of the asset system is segmented into operating regimes; the auto-associative neural network determines estimates of actual measured values; a residual vector is determined from the auto-associative neural network; a fault diagnostic is performed on the residual vector; and a change of the operation of the asset system is determined by analysis of the residual vector. An alert is provided if necessary. A smart sensor system includes an on-board processing unit for performing the method of the invention.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: January 8, 2013
    Assignee: General Electric Company
    Inventors: Rajesh Venkat Subbu, John Erik Hershey, Xiao Hu, Robert James Mitchell, Jr., Avinash Vinayak Taware, Piero Patrone Bonissone
  • Patent number: 8347268
    Abstract: Performance tracking of computing systems can be provided by monitoring, determining performance states, and displaying health information. Capacity planning recommendations can be provided by monitoring performance of a software application, determining a plurality of performance state transitions of the software application, and, based on the transitions, making capacity planning recommendations. Performance state transitions can be selected from a set of transitions between four possible quadrant states. Performance of a software application can be categorized into one of the four possible quadrant states from. Capacity planning recommendations can be provided by a capacity planning framework. The framework can comprise a performance monitoring module for monitoring performance of software applications, a quadrant tracking module for determining performance state transitions, and a capacity planning module for making capacity planning recommendations based on the transitions.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: January 1, 2013
    Assignee: Infosys Limited
    Inventors: Gaurav Caprihan, Nikhil Venugopal, Pratik Kumar
  • Patent number: 8339414
    Abstract: A graphics processing apparatus is provided with rendering circuitry which separately renders different areas of a frame of pixel values. Monitoring circuitry coupled to the rendering circuitry captures for each area rendered one or more parameters and stores these parameters to a parameter memory. A performance frame can be generated from the captured and stored parameters with performance-representing pixel values for each area within the performance frame corresponding to an area within the image frame and having a visual characteristic selected in dependence upon the performance parameter which was captured. The visual characteristic may be a grey-scale value, a pixel intensity or a pixel color.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: December 25, 2012
    Assignee: ARM Limited
    Inventors: Frank Klaeboe Langtind, Remi Pedersen
  • Patent number: 8339260
    Abstract: A hazardous area in the region surrounding a reel transport of a reel changer is secured. A contactless protective device is located at the access boundaries of the region. This protective device can be deactivated for feeding and/or removing a known object and comprises an evaluation unit. The protective device is configured as a light curtain that is comprised of a plurality of light beams that run in parallel to each other. The evaluation unit includes an assembly for detecting the sequence of the interruption of the light beams during the feeding and/or the removal of an object. Based on the detected sequence of interruption of the light beams, a known object, that is permitted to access the hazardous area, is detected. The protective device is deactivated in this situation. Securing the hazardous area further includes the provision of a second contactless protective device that is permanently active.
    Type: Grant
    Filed: July 28, 2009
    Date of Patent: December 25, 2012
    Assignee: Koenig & Bauer Aktiengesellschaft
    Inventors: Katja Paula Krieger, Manfred Wilhelm Maierhöfer, Klaus Karl Müller, Josef Herbert Olbort, Karlheinz Rahner, Senad Ugljesa
  • Publication number: 20120323354
    Abstract: A system for forming a building panel of a desired shape includes a shaping machine comprising multiple rollers, wherein the shaping machine is configured to provide a desired shape to a building panel, and wherein the building panel is made from sheet material. A drive system moves the building panel longitudinally along the shaping machine, and a power source provides power to the drive system. As the building panel is moved along the shaping machine, a load sensor detects a load placed on the power source, and an optional speed sensor detects a speed of the building panel. A control system controls the drive system in response to a signal from the load sensor so as to control the load on the power source as the building panel moves along the shaping machine.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 20, 2012
    Applicant: M.I.C. Industries, Inc.
    Inventors: Todd E. Anderson, Frederick Morello
  • Patent number: 8335581
    Abstract: Preparation of a wafer processing or measuring tool for a job can be initiated prior to assigning a wafer carrier to deliver wafers to the tool. The automated process may include transfer of wafers from a container, such as a bare wafer stocker, or between two tools.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: December 18, 2012
    Assignee: Globalfoundries Inc.
    Inventors: Raymond G. Goss, Diwas Adhikari
  • Patent number: 8335582
    Abstract: In one embodiment, a method for providing a user interface to graphically indicate a cause for fault-related events includes providing a user interface to illustrate a plurality of fault-related events for a plurality of recipes performed on a plurality of manufacturing process hardware tools, presenting in the user interface the plurality of recipes in a first axis and the plurality of manufacturing process hardware tools in a second axis, and graphically indicating in the user interface whether the plurality of fault-related events were caused by one of the plurality of manufacturing process hardware tools or one of the plurality of recipes performed on the one manufacturing process hardware tools.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: December 18, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Rinat Shimshi
  • Patent number: 8328950
    Abstract: There are provided a system, method and computer program product for detecting foreign materials in a semiconductor manufacturing process. The manufacturing process uses a plurality of semiconductor manufacturing tools. The system categorizes at least one monitoring wafer according to one or more categories. The system supplies the categorized monitoring wafer to a semiconductor manufacturing tool. The system observes a level of contamination on the categorized monitoring wafer. The system compares the level of contamination to a threshold. The system cleans the tool in a response to determining that the level of contamination is larger than the threshold. The system determines which category of the wafer leaves a highest level of contamination on the tool. The system identifies a root cause of the highest level of contamination on the tool.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: December 11, 2012
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Baseman, Tomasz J. Nowicki
  • Patent number: 8332064
    Abstract: A polishing method can bring a polishing surface to the optimum condition for polishing, without using a dummy wafer, before resuming polishing, thereby eliminating the cost of dummy wafer. The polishing method includes carrying out a stand-by operation during a polishing-resting time period, carrying out a preparatory process to polishing, after completion of the stand-by operation, by dressing a polishing surface while supplying a polishing liquid to the polishing surface, and starting polishing of a workpiece after completion of the preparatory process to polishing. A determination as to whether to carry out the preparatory process to polishing after completion of the stand-by operation may be made based on the total operating time of the stand-by operation or the total effective number of the stand-by operations.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: December 11, 2012
    Assignee: Ebara Corporation
    Inventors: Tsuneo Torikoshi, Kuniaki Yamaguchi
  • Patent number: 8332063
    Abstract: An apparatus, method, and computer program for process control using people and asset tracking information are provided. One or more process control data elements are received, which define a location associated with a person and/or an asset in a processing environment. At least part of the processing environment is controlled using the one or more process control data elements. For example, the processing environment could be controlled based on whether a specified area has been evacuated or whether one or more specified personnel or types of personnel are present in the specified area. The location can also be used to prevent the person and/or the asset from entering a restricted part of the processing environment, identify any people failing to reach specified zones of the processing environment during an incident, and identify a current actual or estimated location of at least one person to be rescued in the processing environment.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: December 11, 2012
    Assignee: Honeywell International Inc.
    Inventor: Kenneth C. Moshier
  • Publication number: 20120310402
    Abstract: For controlling a printed product processing system (100), which comprises a collecting system (1) having a conveyor (11) and a plurality of feed conveyors (13) for creating product collections (4) from products (3) fed by the feed conveyors (13), system configuration parameters (24) which define a configuration of the printed product processing system (100) and production configuration parameters (25) which define the production of product collections (4, 4#) created from a plurality of products fed are detected and run time data are determined during the production. On the basis of the system configuration parameters (24), the production configuration parameters (25) and the run time data, one of a plurality of defined production strategies (26), which in each case determine the control of the printed product processing system (100), is selected during the production.
    Type: Application
    Filed: December 2, 2010
    Publication date: December 6, 2012
    Inventor: Matthias Dürr
  • Publication number: 20120298141
    Abstract: An apparatus and method for recovery and cleaning of broken substrates, especially beneficial for fabrication systems using silicon wafer carried on trays. Removal of broken wafers and particles from within the fabrication system is enabled without requiring disassembly of the system and without requiring manual labor.
    Type: Application
    Filed: May 24, 2011
    Publication date: November 29, 2012
    Inventors: Craig Lyle STEVENS, David Eric Berkstresser, Wendell Thomas Blonigan
  • Patent number: 8321046
    Abstract: A substrate processing apparatus including a controller connected to a plurality of sub-controllers for controlling a substrate processing, and an operating section having a display unit for showing an operation screen displaying a daily check data for checking utility equipment relating to each part and having a daily check initial parameter file defined in a client type, a presence of an item and unit conversion. The controller is configured to receive the daily check data from a sub-controller, to convert a unit of the received daily check data, and then to transfer the unit-converted daily check data to the operating section, and the operating section is configured to refer to the daily check initial parameter file and to display the daily check data transferred from the controller on the operation screen.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: November 27, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masako Sueyoshi, Kazuhito Saito
  • Patent number: 8321602
    Abstract: A device management apparatus may include, but is not limited to, a device controller that controls a device, a communication controller, a management unit, and a monitoring unit. The communication controller controls a communication between the device and the device controller. The management unit manages the device controller and the communication controller. The monitoring unit is disposed between the device controller and the communication controller. The monitoring unit formats data that is transmitted between the device controller and the communication controller so as to create formatted data. The monitoring unit supplies the formatted data to the management unit.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: November 27, 2012
    Assignee: Yokogawa Electric Corporation
    Inventor: Shinya Nakagawa
  • Patent number: 8321045
    Abstract: A production information reporting/recording system is disclosed herein including a number of features to ensure efficient, flexible and reliable recording of production data in a manufacturing system. Such features include validating the content of event messages to ensure compliance of event report information with a standard. The system also supports caching IDs associated with particular aspects of an event message (e.g., a production request, a process segment) as well as a unique ID corresponding to the ID assigned by the production event database to reduce the need to access the database when assigning unique database IDs to received events. The production event messaging system also supports both asynchronous and synchronous transactional messaging between the sources of events and the production database service.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: November 27, 2012
    Assignee: Invensys Systems, Inc.
    Inventors: Steven M. Weinrich, James C. Long, Eric P. Gove, Donald Tunnell, George E. Bachman