Performance Monitoring Patents (Class 700/108)
  • Patent number: 8111384
    Abstract: A method and device for facilitating measurement of thermo-optically induced material phase change response in a thin planar or a grating film stack is disclosed. The method may include using small-spot visible and ultraviolet spectra (ellipsometric or reflectance) for measuring a material phase change response. The device may include a measurement system platform, at least one electrical resistor, at least one external electric probe, and ohmic contact circuitry.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: February 7, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Carlos L. Ygartua, Lei Zhong, John McCormack, Robert J. McClelland
  • Patent number: 8112169
    Abstract: A polishing apparatus has a polishing table having a polishing surface and a top ring for pressing a substrate against the polishing surface while independently controlling pressing forces applied to a plurality of areas on the substrate. The polishing apparatus has a sensor for monitoring substrate conditions of a plurality of measurement points on the substrate, a monitor unit for performing a predetermined arithmetic process on a signal from the sensor to generate a monitor signal, and a controller for comparing the monitor signal of the measurement points with the reference signal and controlling the pressing forces of the top ring so that the monitor signal of the measurement point converges on the reference signal.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: February 7, 2012
    Assignee: Ebara Corporation
    Inventors: Yoichi Kobayashi, Yasumasa Hiroo, Tsuyoshi Ohashi
  • Publication number: 20120029678
    Abstract: A visualization system integrated with an enterprise manufacturing intelligence (EMI) system utilizing preconfigured EMI data models, workflow reports and process event notifications to optimize a manufacturing process. The visualization system and the EMI system exchange data and information providing both systems with a broader process view than either system has independently. The visualization system can specifically target information and action requests to different classes of manufacturing personnel such as operators and engineers. Additionally, the visualization system maintains an audit log of all production identities, raw material usage, and requested corrective actions.
    Type: Application
    Filed: August 8, 2011
    Publication date: February 2, 2012
    Applicant: ROCKWELL AUTOMATION TECHNOLOGIES, INC.
    Inventors: Robert Joseph McGreevy, Michael John Pantaleano, Bruce Gordon Fuller, Ian Edward Tooke, Kevin John Albert, John Joseph Baier, Jan Pingel
  • Patent number: 8108790
    Abstract: Various graphical displays used for visualization of control techniques in a process control system can be provided to an operator. For example, a graphical display could include (i) an image associated with at least a portion of a process and (ii) one or more icons identifying one or more process variables associated with at least the portion of the process. Selection of an icon could present the operator with a faceplate containing information associated with at least one of the process variables. Another graphical display could include (i) a focal variable symbol identifying a focal process variable and (ii) one or more additional variable symbols identifying one or more additional process variables associated with the focal process variable. Gains associated with the additional process variables could be identified in the display. Yet another graphical display could be used to remotely invoke and control applications executing in a process control system.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: January 31, 2012
    Assignee: Honeywell International Inc.
    Inventors: Donald A. Morrison, Jr., Pravin W. Shende, Chandrakanth Vittal, Gobinath Pandurangan
  • Patent number: 8108060
    Abstract: System and method for implementing wafer acceptance test (“WAT”) advanced process control (“APC”) are described. In one embodiment, the method comprises performing a key process on a sample number of wafers of a lot of wafers; performing a key inline measurement related to the key process to produce metrology data for the wafers; predicting WAT data from the metrology data using an inline-to-WAT model; and using the predicted WAT data to tune a WAT APC process for controlling a tuning process or a process APC process.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: January 31, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Andy Tsen, Jo Fei Wang, Po-Feng Tsai, Ming-Yu Fan, Jill Wang, Jong-I Mou, Sunny Wu
  • Publication number: 20120022679
    Abstract: A method for automatic process control (APC) performance monitoring may include, but is not limited to: computing one or more APC performance indicators for one or more production lots of semiconductor devices; and displaying a mapping of the one or more APC performance indicators to the one or more production lots of semiconductor devices.
    Type: Application
    Filed: June 9, 2011
    Publication date: January 26, 2012
    Applicant: KLA-TENCOR CORPORATION
    Inventors: DongSub Choi, Amir Widmann, Daniel Kandel, David Tien
  • Publication number: 20120016508
    Abstract: A semiconductor fabrication apparatus includes a semiconductor wafer mounting table having a cavity therein; and a nozzle which jets a liquefied temperature adjustment medium having a temperature equal to or less than a targeted temperature to an inner wall of the cavity in order to adjust a temperature of the semiconductor wafer mounting table to the targeted temperature. The semiconductor fabrication apparatus further includes a pressure detecting unit for detecting an internal pressure of the cavity; and a vacuum pump which discharges gas within the cavity such that a pressure detected by the pressure detecting unit becomes equal to or more than a saturated vapor pressure related to the temperature of the temperature adjustment medium jetted from the nozzle and equal to or less than a saturated vapor pressure related to the targeted temperature.
    Type: Application
    Filed: March 29, 2010
    Publication date: January 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuyoshi Matsuzaki, Sumie Nagaseki
  • Publication number: 20120010743
    Abstract: A data analyzing method includes receiving monitor data from the substrate processing apparatus; producing representative value data based on the monitor data; associating apparatus condition information indicating a condition of the substrate processing apparatus at the time of production of the monitor data, with the representative value data; storing the representative value data and the apparatus condition information associated with the representative value data and in a database; retrieving the representative value data and the apparatus condition information associated with the representative value data from the database; comparing an exclusion parameter with the retrieved apparatus condition information, the exclusion parameter including information indicating whether the retrieved representative value data should be included in analysis processing targets; and determining whether the retrieved representative value data should be included in the analysis processing targets, based on the comparison res
    Type: Application
    Filed: July 1, 2011
    Publication date: January 12, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Kazuhide ASAI
  • Patent number: 8095230
    Abstract: A method for increasing overall yield in semiconductor manufacturing including routing wafers or wafer lots based on process variation data obtained from the wafers or wafer lots and on process variation data obtained from tools processing the wafers or wafer lots. A system for increasing overall yield in semiconductor manufacturing includes a module for routing wafers or wafer lots based on process variation data obtained from the wafers or wafer lots and on process variation data obtained from the tools processing the wafers or wafer lots.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: January 10, 2012
    Assignee: International Business Machines Corporation
    Inventors: Xu Ouyang, Oleg Gluschenkov, Yunsheng Song, Keith Kwong Hon Wong
  • Patent number: 8090463
    Abstract: During the production of a product by means of a production machine, an evaluation device receives actual states of components of the production machine, detected by sensors at detection times, and/or operating states of a control device of the production machine at the defined detection times. The evaluation device compares the states of each detection time transmitted thereto with pre-defined state combinations and thus determines fulfilled state combinations. For each fulfilled state combination, the evaluation device selects relevant states from the states of the respective detection time transmitted thereto, and corresponding information and the respective detection time are stored with the relevant states such that they are available for other evaluations.
    Type: Grant
    Filed: May 29, 2006
    Date of Patent: January 3, 2012
    Assignee: Siemens Aktiengsellschaft
    Inventors: Michael Kaever, Volker Maier
  • Patent number: 8090676
    Abstract: Systems and methods (300) for offline/online performance monitoring of batch processes (BPs) involving obtaining archived data (AD) obtained during runs of BP and including information defining a batch quality attribute for each run. The method also involves forming clusters by classifying AD for the runs into classes based on the batch quality attribute(s) and building a first multivariate statistical model (MSM) using AD. The method can further involve building a wavelet analysis based feature matrix (FM) using AD, forming a first projection (1200) by projecting FM onto a first MSM, building a second MSM (1300) using information obtained from the first projection, and computing centroids (C902, . . . , C918) and boundary profiles for the clusters (902, . . . , 918). The method can involve performing an online/offline performance monitoring (700/800) using an integrated version of the first and second MSM, a classification algorithm, centroids, and boundary profiles.
    Type: Grant
    Filed: September 11, 2008
    Date of Patent: January 3, 2012
    Assignee: Honeywell International Inc.
    Inventors: Shailesh Rajnikant Patel, Ramprasad Yelchuru, Srikanth Ryali, Pradeep K. Shetty, Gudi Ravindra
  • Patent number: 8086338
    Abstract: A service providing method for monitoring a mounting tact of a component mounting apparatus includes collecting, from the service receiver, mounting tact information including a mounting tact result value of the component mounting apparatus from the service receiver by use of a service provider via a communication system. The method additionally includes judging whether a mounting operation of the component mounting apparatus has a tact loss corresponding to an amount by which a mounting tact is greater than a standard mounting tact as a result of analysis of the collected mounting tact information and determining and feeding back, to the service receiver via the communication system, NC data for allowing the component mounting apparatus to be operated.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: December 27, 2011
    Assignee: Panasonic Corporation
    Inventors: Toshio Yano, Hiroshi Okamura, Yoshihiko Misawa
  • Publication number: 20110313558
    Abstract: Methods and systems for monitoring a parameter of a measurement device during polishing, damage to a specimen during polishing, a characteristic of a polishing pad, or a characteristic of a polishing tool are provided. One method includes scanning a specimen with a measurement device during polishing of a specimen to generate output signals at measurement spots on the specimen. The method also includes determining if the output signals are outside of a range of output signals. Output signals outside of the range may indicate that a parameter of the measurement device is out of control limits. In a different embodiment, output signals outside of the range may indicate damage to the specimen. Another method includes scanning a polishing pad with a measurement device to generate output signals at measurement spots on the polishing pad. The method also includes determining a characteristic of the polishing pad from the output signals.
    Type: Application
    Filed: August 27, 2011
    Publication date: December 22, 2011
    Applicant: KLA-TENCOR TECHNOLOGIES CORPORATION
    Inventors: Kurt Lehman, Charles Chen, Ronald L. Allen, Robert Shinagawa, Anantha Sethuraman, Christopher F. Bevis, Thanassis Trikas, Haiguang Chen, Ching Ling Meng
  • Patent number: 8078919
    Abstract: A method, apparatus and program storage device for managing multiple step processes triggered by a signal is disclosed. Status records are created for each process step. When an error occurs, error status record in recorded in the status record. A user may then use the status record to identify the error, take corrective action and restart the process at the appropriate point for reprocessing the signals.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: December 13, 2011
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventor: Eden Garia
  • Publication number: 20110301739
    Abstract: There is provided a control system of a substrate processing apparatus, comprising: a collecting unit for collecting data from each component that constitutes a substrate processing apparatus, the collecting unit further comprising at least: a buffer for temporarily storing collected data; and a sorting part for rearranging the collected data, based on time data attached to the collected data.
    Type: Application
    Filed: June 7, 2011
    Publication date: December 8, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Norihiko KATAOKA, Shinichiro MORI
  • Publication number: 20110301740
    Abstract: A system and method for manufacturing an ophthalmic lens is described. In some examples, the system applies a back surface to a lens blank that includes an aspherical curve having two radii of curvature. In some examples, a back surface of a peripheral portion of the lens follows the curvature of a front surface of the lens in order to establish a rounded, non-sharp edge to a lens blank used during the manufacturing process of a prescription eyeglass lens.
    Type: Application
    Filed: August 17, 2011
    Publication date: December 8, 2011
    Inventors: Stan Arrigotti, Gordon Keane
  • Patent number: 8073567
    Abstract: A production control apparatus of a production system provided with a storing means for storing in advance a production system model comprised of workpiece information regarding workpieces and program information regarding a plurality of work programs of robots and a plurality of machining programs of machine tools, an updating means for updating the production system model based on run status signals showing run statuses of the robots and machines tools and workpiece signals from the detectors, and an instructing means for selecting one work program and one machining program based on the updated production system model and instructing running of the selected work program and work program to the robot and machine tool. Due to this, by calling up a work program of the robot etc. in accordance with the state of the production system, it is possible to change the program and restore the system from error.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: December 6, 2011
    Assignee: Fanuc Ltd
    Inventors: Hiroji Nishi, Jun Mizuno
  • Patent number: 8068104
    Abstract: An apparatus for graphically displaying analytical data, comprising a generic model to graphically represent a complex set of physical characteristics potentially leading to catastrophic failure of a physical system. A central region represents failure of the physical system; a series of concentric ridges represents level of function. Wedge shaped regions upon the ridges represent predetermined subsystems of the physical system. An icon is displayed with changes in the icon proportional to time, and any one or more of color, position, shape, and rotation of the icon representing prescribed analysis of the physical characteristics. Mappings correlate predetermined characteristics of the system with failure of the physical system, levels of functioning represented by the ridges, subsystems represented by the wedge shaped regions, and/or analysis of the physical characteristics represented in the icon. A sensor interface receives measurements, and a graphics generator prepares a presentation of the model.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: November 29, 2011
    Inventor: Carlyle Rampersad
  • Patent number: 8068922
    Abstract: In a method for operating a field device working according to the block model for a distributed automation system, which exchanges data via a fieldbus, a first function block application serving for process control is provided, which makes available to other system participants process data via a first virtual field device object. In addition, a second function block application is provided, which serves for plant monitoring and which makes available to other system participants plant monitoring data via a second virtual field device object, wherein the first and second function block applications are executed according to different schedules.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: November 29, 2011
    Assignee: Endress + Hauser Process Solutions AG
    Inventor: Eugenio Ferreira Da Silva Neto
  • Publication number: 20110282479
    Abstract: A sewing machine includes a needle bar to a lower end of which a needle can be attached, a needle plate in which a needle hole is provided, an image capture device that generates, as captured image data, data that describe a captured image of a sewing object being positioned between the needle bar and the needle plate, a marker data generation device that generates, as marker data, data that describe a setting marker, the setting marker indicating a pattern position and a pattern angle, a composite image data generation device that generates, as composite image data, data that describe a composite image based on the captured image data and the marker data, and a display control device that, based on the composite image data, causes the composite image to be displayed on a screen.
    Type: Application
    Filed: May 11, 2011
    Publication date: November 17, 2011
    Applicant: BROTHER KOGYO KABUSHIKI KAISHA
    Inventor: Masashi TOKURA
  • Patent number: 8060232
    Abstract: Methods and computer storage media provide for the installation of potted inserts and installation validation. According to embodiments described herein, an insert is placed within an insert aperture of a panel or other structure. Potting compound is injected into a potting cavity surrounding the insert through a fill hole in the insert until potting compound overflows from another fill hole. The installation is validated by applying a force to the installed insert at a determined frequency using a mechanical impedance instrument and measuring a response frequency. The response frequency is compared to an acceptable frequency range to determine whether the insert is properly installed.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: November 15, 2011
    Assignee: The Boeing Company
    Inventors: Michael P. Kuntz, Mark L. Younie, Gary E. Georgeson
  • Patent number: 8055371
    Abstract: An apparatus for monitoring performance of an industrial process includes a service portal for collecting, transmitting and analyzing parameter data from process field devices that includes a network connection that connects to a process control system of the industrial process, a remote collector that collects parameter data from process field devices, a processor that identifies, sorts, and stores the collected parameter data and a communications module for transmitting the stored parameter data to a remote monitoring station for analysis.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: November 8, 2011
    Assignee: Invensys Systems, Inc.
    Inventors: Fred Sanford, Robert Bather, Martin Culverhouse, Walter Sikora, Melanie Russell, Peter Martin, Robert Hasselbaum, Russell Barr
  • Patent number: 8055391
    Abstract: A server device constituting a group management system includes one or more manufacturing apparatuses for performing a preset process on a target substrate, and the server device includes a measurement information storage unit for storing therein one or more measurement information; an instruction receiving unit for receiving an output instruction of the measurement information, which contains information specifying a predetermined start point and valid time information; a measurement information acquisition unit for acquiring, from the measurement information storage unit, measurement information ranging from the predetermined start point to a time point of the valid time; an output information composing unit for composing output information by using the acquired measurement information; and an output unit for outputting the output information composed by the output information composing unit.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: November 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masashi Takahashi, Minoru Obata, Noriaki Koyama
  • Patent number: 8055365
    Abstract: A system for supplying a reagent to multiple tools in an electronics fabrication facility is configured using a demand probability distribution. In specific examples the reagent is a non-atmospheric or a specialty gas and the demand probability distribution is developed using Monte Carlo statistical techniques. In one embodiment, a method for configuring a reagent supply system for an electronic device manufacturing facility is provided. The method includes (a) collecting representative information for process tools within the fabrication facility which use the reagent; (b) creating a simulation of process tool operation to model an overall demand profile for the process tools; (c) creating a statistical probability distribution of the reagent demand by the process tools using data from the model; and (d) correlating data from the probability distribution with supply system characterization data to configure the supply system.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: November 8, 2011
    Assignee: Praxair Technology, Inc.
    Inventors: Jeremy Michael Cabral, Shrikar Chakravarti
  • Patent number: 8055370
    Abstract: Disclosed are apparatus and methods for monitoring an operation parameter of a process tool, independently of a process system recipe, are provided. In general, an indirect effect that results from implementing an event from a process system recipe on the process system is monitored without using the specific values or setpoints that are entered for such event into the process system to thereby change a state of such process system. In one embodiment, the behavior of a process device as it transitions between different states is monitored for a single cycle of operation or over time to detect trends that indicate a potential failure of the process device. When a trend that indicates a potential failure is detected, an alarm is generated. In one implementation, the time for reaching a particular stage of operation may be repeatedly monitored over a plurality of device cycles. For example, the time to open a valve or door may be monitored.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: November 8, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Jeffery William Achtnig, Russell Fleming, Jaideep Jain
  • Patent number: 8056022
    Abstract: A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: November 8, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Juan Andres Torres Robles, William S. Graupp, Mark C. Simmons
  • Publication number: 20110270431
    Abstract: A system for controlling production shut down of an underwater fluid production well, the well having a sensor for producing an output signal indicative of the state of the well and a valve which is actuable to shut down production activity of the well, comprises means for receiving the output signal; and a processor for processing the received signal to determine if a shut down is required and outputting a shut down signal to the valve; wherein the receiving means and processor are located at the well.
    Type: Application
    Filed: April 21, 2011
    Publication date: November 3, 2011
    Inventors: Stuart Guy Holley, Ian J. Kent, Vernon Lester Hutchings
  • Publication number: 20110264252
    Abstract: A combinatorial processing management system is described, including determining an identification for a substrate, retrieving data from tools operating on the substrate, generating an analysis of the data in response to the retrieving, and storing the data and the analysis in a database indexed by the identification. The analysis may include comparisons between multiple processes performed on multiple regions of the substrate. The multiple processes may process at least one region of the substrate differently from at least one other region of the substrate.
    Type: Application
    Filed: July 1, 2011
    Publication date: October 27, 2011
    Applicant: INTERMOLECULAR, INC.
    Inventors: Yoshiki Ashizawa, Heng-Cheng Pai
  • Patent number: 8041443
    Abstract: A surface defect data display and management system comprises a risk score calculation unit for calculating the magnitude of an influence a surface defect on a wafer detected by a wafer inspection system or review system has upon a reduction in the yield of a final product as a risk score of the surface defect based on a defect size of the surface defect on the wafer and a pattern concentration obtained from design data of a pattern figure nearby a location corresponding to the position of the surface defect, and a correlation graph and defect image display unit for preparing a correlation graph showing the correlation between the defect size and the risk score of each defect, displaying the prepared correlation graph on the display apparatus and displaying additionally a defect image list of one or more defects selected by using the correlation graph.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: October 18, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Tomohiro Funakoshi
  • Patent number: 8041442
    Abstract: A process for selecting a surrogate part for metallic plating, the metallic plating of the surrogate part predicting results for the metallic plating of a new or predetermined part is disclosed. The process can include providing a reinforcement learning system having a memory circuitry and a processing circuitry. The memory circuitry can have a database with data associated with a plurality of surrogate parts and data associated with the predetermined part can be entered into the data input module. The processing circuitry can compare the data associated with each of the plurality of surrogate parts and the data associated with the predetermined part and select a surrogate part that affords predicting a plating result of the predetermined part.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: October 18, 2011
    Assignee: Toyota Motor Engineering & Manufacturing North America, Inc.
    Inventors: Adam Richard Muehlhauser, Andrew Raymond Nowasielski
  • Patent number: 8041526
    Abstract: A production system includes at least one production component having a production cycle which is interruptible by a detected production failure, at least one detector configured to monitor an output of the at least one production component, the detector configured to detect a production failure and to generate a signal indicative of the production failure, and a control unit. The control unit is configured to, in response to the signal from the detector, cause the respective production component to reject production material for a first predetermined duration of time, and in response to the expiration of the first predetermined duration of time, to slow down production for at least a second predetermined duration of time. The control unit can further return the production system to a normal production state in response to receiving a restart signal before the expiration of the first or second predetermined duration of time.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: October 18, 2011
    Assignee: Thomson Licensing
    Inventors: Francisco Bautista Sandoval, Efrain Sandoval Del Toro, Enrique Villasenor Murillo
  • Publication number: 20110251712
    Abstract: The invention relates to a device for actively tracking specific data on systems or the components of a metallurgical system, characterized in that at least one readable and writable memory medium for the specific data is fixedly connected to each component, and the specific data are modifiable periodically and/or on the basis of input. In addition, the invention relates to a metallurgical system, in particular an integrated foundry or a mini-mill, comprising at least one device according to the invention. Finally, the invention relates to the use of at least one device according to the invention in an electric steel mill or a converter steel mill and/or in systems of secondary metallurgy and/or in casting plants, in particular continuous casting machines, and/or in molten steel transport units and/or in furnace systerms and/or in hot and cold rolling mills and/or in rolled stock treatment systems, in particular strip treatment systems.
    Type: Application
    Filed: November 20, 2009
    Publication date: October 13, 2011
    Applicant: SMS SIEMAG AKTIENGESELLSCHAFT
    Inventors: Jochen Schlüter, Markus Reifferscheid, Ina Hüllen
  • Patent number: 8032248
    Abstract: A method for finding the correlation between tool PM (prevention maintenance) and the product yield of the tool is disclosed. The method uses a moving average method to magnify a curve trend that is formed by the product yield data that is captured during a predetermined days before PM and after PM. The magnified curve trend is shown by a Cumulative sum chart. The Cumulative sum chart is analyzed for informing related workers of the effect between the tool PM and the product yield, so as to accurately estimate PM timing. Thereby, via the method, the effect between the tool PM and the product yield may be determined, which serves as an important reference for workers to execute further PM.
    Type: Grant
    Filed: July 22, 2009
    Date of Patent: October 4, 2011
    Assignee: Inotera Memories, Inc.
    Inventors: Yi Feng Lee, Chun Chi Chen, Yun-Zong Tian, Wei Jun Chen, Tsung-Wei Lin
  • Patent number: 8032431
    Abstract: A system and method for managing the development and manufacturing process of a pharmaceutical is disclosed. The method comprises capturing and recording the development and manufacturing history of the pharmaceutical in order to generate a product history. The product history is stored on a computer and is searchable in multiple data dimensions in order to easily retrieve information. The system automatically provides compliance management procedures in order to comply with regulatory standards for the pharmaceutical industry.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: October 4, 2011
    Assignee: Oracle International Corporation
    Inventors: Anjali R. Kataria, Kamlesh Rashmi Desai, Vinay R. Ambekar
  • Patent number: 8032244
    Abstract: A method and system for controlling and monitoring the quality of concrete based on the concrete's maturity (which is a function of its time-temperature profile, or temperature history). Five different applications or embodiments of the present invention are discussed, namely, Enhanced Maturity, Moisture-Loss Maturity, Improved Maturity, SPC Maturity, Loggers, Readers, and Software. Enhanced Maturity involves a maturity calibration method to account for the water-to-cementitious-materials ratio, air content, and gross unit weight of the concrete. Moisture-Loss Maturity is a method for determining the appropriate time to terminate moisture-loss protection of concrete and concrete structures. Improved Maturity is a method and system for determining the strength of curing concrete using improved maturity calculations.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: October 4, 2011
    Assignee: Engius, Inc.
    Inventors: Steven M. Trost, Michael Fox
  • Patent number: 8028049
    Abstract: A method and apparatus for web-based tool management are implemented. A tool object model provides a logical representation of the physical tool. The tool object model defines a hierarchical set of tool objects that characterize the tool, and additionally a set of method for performing actions on the tool objects. These actions also correspond to operations, which may include reporting as well as processing tasks, performed by the tool. A user may remotely control and monitor a tool using a conventional web browser. For example, a user may execute methods of the tool object model, or obtain detailed information about a tool object. User actions are passed to a server by embedding them in hypertext transfer protocol (HTTP) requests. The server receives the HTTP request, and passes the request to a corresponding page server in accordance with the action requested. Depending on the action requested, the page server may generate a web page in response, or may invoke a method of the tool object model.
    Type: Grant
    Filed: February 1, 2000
    Date of Patent: September 27, 2011
    Assignee: PEER Intellectual Property Inc.
    Inventors: Raymond Walter Ellis, Mark Theodore Pendleton, Charles Merritt Baylis
  • Publication number: 20110231000
    Abstract: A power monitoring device is configured to monitor power consumed in a device for mounting component, which constitutes a component mounting line. The power monitoring device includes: an operation information collecting section configured to collect in time-series operation information representing a device operation state of the device for mounting component and to create time-series data of the operation information; a power measuring section configured to measure in time-series an amount of power consumption representing an amount of power consumed in the device for mounting component and to create time-series data of the amount of power; a synchronous output section configured to output the time-series data of the operation information and the time-series data of the amount of power by synchronizing respective time axes in time-series with each other.
    Type: Application
    Filed: October 23, 2009
    Publication date: September 22, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Syunsuke Higashi, Nobuyoshi Kobayashi, Yasuyuki Nishihara, Masaya Matsumoto
  • Publication number: 20110229988
    Abstract: According to the embodiments, a distribution of a recess portion shape is calculated based on a result obtained by measuring the recess portion shape of a first projection and recess pattern formed on a surface of a template. Next, a distribution of an application amount of a curing agent to a processing target layer is calculated based on the distribution of the recess portion shape, and the curing agent is applied to the processing target layer based on this distribution of the application amount of the curing agent. Next, a second projection and recess pattern is formed by transferring the first projection and recess pattern onto the curing agent by causing the curing agent to cure in a state where the first projection and recess pattern is in contact with the curing agent.
    Type: Application
    Filed: March 7, 2011
    Publication date: September 22, 2011
    Inventors: Masafumi ASANO, Ryoichi Inanami, Masayuki Hatano
  • Patent number: 8024053
    Abstract: An instruction converting unit converts the data form of an instruction of an operation received by a receiving unit to the data form of a safety instrumentation system from the data form of a plant control system. An operation carrying out unit receives the instruction of the operation obtained by the instruction converting unit and an original instruction of the safety instrumentation system to carry out the operations, and preferentially carries out the operation of the original instruction of the safety instrumentation system when both the instructions compete with each other.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: September 20, 2011
    Assignee: Yokogawa Electric Corporation
    Inventor: Takeshi Murakami
  • Patent number: 8024676
    Abstract: The invention can provide a method of processing a substrate using multi-pitch scatterometry targets (M-PSTs) for de-convolving lithographic process parameters during Single-Patterning (S-P), Double-Patterning (D-P) procedures, and Double-Exposure (D-E) procedures used to control transistor structures. The M-PSTs) can have critical dimension (CD) and sidewall angle (SWA) sensitivity to exposure focus variations, exposure dose variations, and post exposure bake (PEB) temperature variations. In addition, the variation can be de-convolved so that the individual measurement process variable contributor can be identified.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, David Dixon
  • Publication number: 20110224819
    Abstract: A critical dimension controlling method in a semiconductor production process includes determining whether a model is to undergo a discontinuous production process when a run is inserted in a semiconductor manufacturing line, applying an offset for said model or a common offset for a model group including said model according to the determination, executing a production process in dependence upon a process variation along with the offset for the model or the common offset for the model group, and measuring an actual critical dimension in the production process. The offset for the model is calculated based on a previously measured actual critical dimension, and the calculated offset for the model is applied to the calculation of the common offset for the model group.
    Type: Application
    Filed: October 27, 2010
    Publication date: September 15, 2011
    Applicant: Samsung Mobile Display Co., Ltd.
    Inventors: Eugene Kang, Won-Hyouk Jang, Joo-Hwa Lee
  • Patent number: 8019476
    Abstract: The present invention relates to a control device, control method, recording medium, and program, and building, wherein intelligent and active integration of electronic apparatuses and dwelling-places can be realized. A receiving unit 21 receives broadcasting signals, and supplies the signals to a receiving information determining unit 22. The receiving information determining unit 22 acquires a voice signal from the broadcasting signals supplied from the receiving unit 21, and a voice recognizing unit 23 subjects the voice signal to speech recognition. A determining unit 24 detects danger information such as information relating to a person such as a burglar, or information relating to a district where the person has appeared, or the like from the voice signal subjected to speech recognition by the voice recognizing unit 23.
    Type: Grant
    Filed: September 8, 2004
    Date of Patent: September 13, 2011
    Assignee: Sony Corporation
    Inventor: Tetsujiro Kondo
  • Publication number: 20110218661
    Abstract: The invention relates to a method for the individual tracking of metallic hollow bodies, in particular hot-fabricated steel tubes, wherein following a final step of hot-work the individual tube is provided with a distinctive identification on the tube circumference, which is read in the feed region to the subsequent manufacturing or test stations automatically as a video image during transport and independently from the direction of transport. According to the invention, the labeling of the tube circumference is carried out in at least two segment-like sections, the tube circumference being divided, wherein the labeling is carried out in segments with a rotation of less than 360 DEG of the numbering unit and the segments comprise an axial offset relative to the longitudinal axis of the tube, which is greater than the width of the data matrix code.
    Type: Application
    Filed: June 19, 2009
    Publication date: September 8, 2011
    Inventors: Dirk Van Well, Manfred Achterkamp, Michael Eichler
  • Publication number: 20110216399
    Abstract: In accordance with the invention, a filter is fabricated to take into account the effect of absorption by filter material. The method is exemplified by the fabricating of an ultraviolet light transmission filter for transmitting a band within the range 230-320 nanometers. The resulting filter comprises plurality of hard-coating, thin-film layers of alternating high and low index of refraction. The improved filter provides high transmission, sharp edge slopes, and deep and extended out-of-band blocking. As compared with currently available filters, the filter provides transmission up to three or more times greater, edge slopes up to four times sharper, and deep extended out-of-band blocking extending further, even through the visible range.
    Type: Application
    Filed: April 29, 2011
    Publication date: September 8, 2011
    Applicant: SEMROCK, INC.
    Inventors: Atul Pradhan, Jay Anzellotti, Joseph T. Foss, Ligang Wang, Turan Erdogan
  • Patent number: 8014887
    Abstract: A substrate processing apparatus includes an operating section. The operating section includes a display unit having an operation screen, a screen file that stores daily check data monitor screen data in which a row number is settable as a data number, and a data name is settable as item data of a daily check. The operating section further includes a daily check initial parameter file that stores parameter data corresponding to the item data. The operating section displays the daily check data monitor screen data by extracting the screen file and placing the daily check data monitor screen data on the operation screen as a daily check data monitor screen, and by extracting the daily check initial parameter file, searching through the daily check initial parameter file based on the data number, and placing parameter data corresponding to the item data on the operation screen as the daily check data monitor screen.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: September 6, 2011
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masako Sueyoshi, Kazuhito Saito
  • Patent number: 8013234
    Abstract: A scanner for a keyboard device having a reflective surface for each key has a sensor associated with each key that includes an LED and a photo-transistor. The LED is turned ON for a first measurement, followed by a second measurement with the LED turned off, and a subtraction of the second measurement from the first yields an illumination value for a key x. The LEDs and associated photo-transistors are sequentially enabled in groups of n, thereby eliminating optical interference. Each key x has associated correction parameters of LinRest(x) associated with illumination value with the key in the rest (up) position, LinDown(x) associated with illumination value with the key in the down position, TrebErr(x) associated with the reflectivity effect of one adjacent key(x+1), and BassErr(x) associated with the reflectivity effect of another adjacent key(x?1).
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: September 6, 2011
    Assignee: Midi9 LLC
    Inventor: David T. Starkey
  • Patent number: 8014891
    Abstract: An etching amount calculating method that can stably and accurately calculate the amount of etching even if a disturbance is added. Superposed interference light resulting from superposition of interference light of reflected light from a mask film and reflected light from the bottom of a concave portion on other interference light is received. A waveform in a predetermined time period is extracted from a superposed interference wave calculated from the superposed interference light. The period of an interference wave of the reflected light from the mask film and the reflected light from the bottom is detected from the distribution of frequencies of the extracted waveform. The steps described above are repeated while shifting the predetermined time period by a predetermined time, and the detected periods are integrated and averaged at each repetition. The etching amount of the concave portion is calculated based on the integrated and averaged periods.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: September 6, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Susumu Saito
  • Patent number: 8013732
    Abstract: Embodiments of the present invention are generally directed to a system for monitoring a variety of environmental and/or other conditions within a defined remotely located region. Such a system may be configured to monitor utility meters in a defined area. The system is implemented by using a plurality of wireless transmitters, wherein each wireless transmitter is integrated into a sensor adapted to monitor a particular data input. The system also includes a plurality of transceivers that are dispersed throughout the region at defined locations. The system uses a local gateway to translate and transfer information from the transmitters to a dedicated computer on a network. The dedicated computer, collects, compiles, and stores the data for retrieval upon client demand across the network. The computer further includes means for evaluating the received information and identifying an appropriate control signal, the system further including means for applying the control signal at a designated actuator.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: September 6, 2011
    Assignee: Sipco, LLC
    Inventors: Thomas David Petite, Richard M Huff
  • Patent number: 8010218
    Abstract: A visualization system integrated with an enterprise manufacturing intelligence (EMI) system utilizing preconfigured EMI data models, workflow reports and process event notifications to optimize a manufacturing process. The visualization system and the EMI system exchange data and information providing both systems with a broader process view than either system has independently. The visualization system can specifically target information and action requests to different classes of manufacturing personnel such as operators and engineers. Additionally, the visualization system maintains an audit log of all production identities, raw material usage, and requested corrective actions.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: August 30, 2011
    Assignee: Rockwell Automation Technologies, Inc.
    Inventors: Robert Joseph McGreevy, Micheal John Pantaleano, Bruce Gordon Fuller, Ian Edward Tooke, Kevin John Albert, John Joseph Baier, Jan Pingel
  • Patent number: 8010228
    Abstract: A sensor on a semiconductor wafer is used as a process monitor and a capacitor is employed as a power supply for the sensor. The capacitor can be formed by stacking a poly-silicon layer and a silicon nitride layer on the wafer. A timer can be used to specify an operation time or an operation timing, etc. Furthermore, unauthorized use is prevented by storing a keyword in an ROM of the process monitor.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuhiro Yuasa