Boundary Scan Patents (Class 714/727)
  • Patent number: 7913142
    Abstract: A method for testing at least two arithmetic units installed in a control unit includes: loading of first test data for testing a first arithmetic unit; saving the loaded first test data in a second memory unit of a second arithmetic unit; switching the first arithmetic unit to a test mode, in which a first scan chain of the first arithmetic unit is accessible; reading the first test data from the second memory unit; shifting the first test data which have been read through the first scan chain of the first arithmetic unit switched to the test mode for providing test result data for the first arithmetic unit; checking the provided test result data for plausibility for providing a test result for the first arithmetic unit.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 22, 2011
    Assignee: Robert Bosch GmbH
    Inventor: Axel Aue
  • Patent number: 7913135
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores.-The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: March 22, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7908533
    Abstract: Method and apparatus for operating for operating an Institute of Electrical and Electronics Engineers (IEEE) Standard 1149.1 compliant Joint Test Action Group (JTAG) Test Access Port (TAP) controller are disclosed. An example apparatus includes write logic that is configured to operationally interface with a TAP controller and a processor. The write logic is further configured to receive, from the processor, data for initializing the apparatus and operating the TAP controller, convert at least a portion of the data from a parallel format to a serial format and communicate the converted data to the TAP controller.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: March 15, 2011
    Assignee: Cisco Technology, Inc.
    Inventors: Senthil Somasundaram, Jun Qian
  • Patent number: 7908537
    Abstract: An integrated circuit or circuit board includes functional circuitry and a scan path. The scan path includes a test data input lead, a test data output lead, a multiplexer, and scan cells. A dedicated scan cell has a functional data output separate from a test data output. Shared scan cells each have a combined output for functional data and test data. The shared scan cells are coupled in series. The test data input of the first shared scan cell is connected to the test data output of the dedicated scan cell. The combined output of one shared scan cell is coupled to the test data input lead of another shared scan cell. The multiplexer has an input coupled to the test data output, an input connected to the combined output lead of the last shared scan cell in the series, and an output connected in the scan path.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: March 15, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20110055648
    Abstract: A device and a method for testing a connectivity between a first device and a second device, the method includes: writing, at a first frequency and in a serial manner, a first test word to a source boundary scan register; writing a content of the source boundary scan register, at a second frequency and in a parallel manner, to a target boundary scan register; wherein the second frequency is higher than the first frequency; reading the content of the target boundary scan register; wherein the source and target boundary scan registers are selected from a first boundary scan register of the first device and a second boundary scan register of the second device; and evaluating a connectivity between the first and second device in response to a relationship between the first test word and the content of the target boundary scan register.
    Type: Application
    Filed: August 31, 2009
    Publication date: March 3, 2011
    Inventors: MICHAEL PRIEL, Leonid Fleshel, Anton Rozen
  • Patent number: 7900110
    Abstract: An optimized JTAG interface is used to access JTAG Tap Domains within an integrated circuit. The interface requires fewer pins than the conventional JTAG interface and is thus more applicable than conventional JTAG interfaces on an integrated circuit where the availability of pins is limited. The interface may be used for a variety of serial communication operations such as, but not limited to, serial communication related integrated circuit test, emulation, debug, and/or trace operations.
    Type: Grant
    Filed: September 22, 2010
    Date of Patent: March 1, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7900163
    Abstract: An approach for producing optimized integrated circuit designs that support sequential flow partial scan testing may be embedded within an integrated circuit electronic design device. Using the approach, an integrated circuit design may be analyzed to identify and remove scan-enabled memory elements, or scan elements, that are redundant. The redundant scan elements may be replaced with memory elements that do not support scan testing. Once the redundant scan elements are removed, the integrated circuit design my be optimized using automated techniques to reduce the area of the integrated circuit physical layout and to simplify/minimize routing connections between remaining features within the integrated circuit design. The described approach may achieve a reduced total area layout and complexity, an improved time/frequency response, and/or reduced power consumption and/or heat generation within the circuit design, without reducing the fault coverage achieve during testing.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: March 1, 2011
    Assignee: Marvell Israel (M.I.S.L.) Ltd.
    Inventors: Michael Weiner, Haggai Telem
  • Patent number: 7900106
    Abstract: System and methods transfer data over a microcontroller system test interface. The system can read data from and write data to microcontroller system memory using the described method. The method provides for the efficient transfer of data, minimizing redundancies and overhead present in conventional microcontroller test system protocols.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: March 1, 2011
    Assignee: ATMEL Corporation
    Inventors: Andreas Engh Halstvedt, Kai Kristian Amundsen, Frode Milch Pedersen
  • Patent number: 7900107
    Abstract: The invention provides an internal comparison circuits for speeding up the ATPG test. During test, an external test machine transfers original test patterns into at least one scan chain of a chip to be tested. A bi-directional output buffer of the chip also receives the test patterns from the test machine. A comparator of the chip compares the original test patterns from the test machine via the bi-directional output buffer group with scanned-out test patterns from the scan chain, to produce a comparison signal indicating whether the chip passes or fails the test.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: March 1, 2011
    Assignee: Faraday Technology Corp.
    Inventors: Wang-Chin Chen, Augusli Kifli
  • Patent number: 7895491
    Abstract: An integrated circuit with low-power built-in self-test logic (“IC-LPBIST”) is disclosed. The IC-LPBIST may include combinational logic and a loading circuit enabled to load a shift test pattern of data into the loading circuit without powering the combinational logic of the IC-LPBIST, wherein the shift test pattern of data is configured to test the combinational logic for logical faults.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: February 22, 2011
    Inventor: Yuqian C. Wong
  • Patent number: 7890829
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations. In a fifth aspect of the present disclosure, an interface select circuit, FIGS. 41-49, provides for selectively using either the 5 signal interface of FIG. 41 or the 3 signal interface of FIG. 8.
    Type: Grant
    Filed: July 21, 2010
    Date of Patent: February 15, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7890825
    Abstract: Scan testing of plural target electrical circuits, such as circuits 1 through N, becomes accelerated by using the scan test response data output from one circuit, such as circuit 1, as the scan test stimulus data for another circuit, such as circuit 2. After reset, a scan path captures the output response data from the reset stimulus from all circuits. A tester then shifts the captured data only the length of the first circuit's scan path while loading the first circuit's scan path with new test stimulus data. The new response data from all the circuits then is captured in the scan path. This shift and capture cycle is repeated until the first circuit is tested. The first circuit is then disabled and any remaining stimulus data is applied to the second circuit. This process is repeated until all the circuits are tested. A data retaining boundary scan cell used in the scan testing connects the output of an additional multiplexer as the input to a boundary cell.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: February 15, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7890824
    Abstract: An adaptation of a test data register (TDR) structure defined by the IEEE 1149.1 Joint Tag Action Group (JTAG) interface standard to provide a debugging path. Existing multi-core processor solutions are covered, but an expansion for a more generic solution is provided. In general, the present apparatus is for extending the IEEE 1149.1 JTAG standard to provide an asynchronous protocol for bypassing test circuitry and bi-directionally communicating with functional circuitry. The apparatus includes an integrated circuit having function register and JTAG standard TDR. Digital logic is configured to control the direct transfer of data between the JTAG standard TDR and the function register.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: February 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Steven Michael Douskey, Michael John Hamilton, Brandon Edward Schenck
  • Patent number: 7886207
    Abstract: An integrated circuit includes a plurality of logic circuits and a scan chain for testing the plurality of logic circuits. The plurality of logic circuits include the first and second logic circuits. The scan chain includes the first and second scan chain portions. The first scan chain portion is configured to test the first logic circuit based on a scan input test pattern applied thereto and output the first output test pattern. The second scan chain portion is configured to test the second logic circuit based on the first output test pattern and output the second output test pattern. A switching unit is provided to select and output one of the first output test pattern and the second output test pattern as a scan output test.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: February 8, 2011
    Assignee: Marvell Israel (M.I.S.L) Ltd
    Inventor: Yosef Solt
  • Patent number: 7882409
    Abstract: Proposed are methods and apparatuses for synthesis of a new class of compressors called augmented multimode compactors, capable of achieving a flexible trade-off between compaction ratio, observability, control data volume and diagnostic properties in the presence of a large number of unknown values. The augmented multimode compactors reduce and/or completely avoid the X-masking effect in the compacted test responses. In addition, a requirement for constructing compactors is that any single error in the test response produces a unique erroneous signature within S consecutive shift cycles where the erroneous signature is calculated as a difference between the faulty signature and the fault-free signature.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: February 1, 2011
    Assignee: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Patent number: 7877715
    Abstract: A method is provided to evaluate whether one or more test patterns is power safe for use during manufacturing testing of an integrated circuit that includes a nonuniform power grid and that includes a scan chain, the method comprising: assigning respective toggle count thresholds for respective power grid regions of the non-uniform power grid; and determining whether respective numbers of toggles by scan elements of the scan chain within one or more respective power grid regions meet respective toggle count thresholds for the one or more respective regions during at least one scan-shift cycle in the course of scan-in of a test pattern to the scan chain.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: January 25, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Senthil Arasu Thirunavukarasu, Shaleen Bhabu, Vivek Chickermane
  • Patent number: 7877658
    Abstract: In a first embodiment a TAP of IEEE standard 1149.1 is allowed to commandeer control from a WSP of IEEE standard P1500 such that the P1500 architecture, normally controlled by the WSP, is rendered controllable by the TAP. In a second embodiment (1) the TAP and WSP based architectures are merged together such that the sharing of the previously described architectural elements are possible, and (2) the TAP and WSP test interfaces are merged into a single optimized test interface that is operable to perform all operations of each separate test interface. One approach provides for the TAP to maintain access and control of the TAP instruction register, but provides for a selected data register to be accessed and controlled by either the TAP+ATC or by the discrete CaptureDR, UpdateDR, TransferDR, ShiftDR, and ClockDR WSP data register control signals.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: January 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7877652
    Abstract: Standardized scan cell logic is enabled to test board-level and circuit-level AC interfaces built into integrated CMOS circuits by verification of high-speed AC coupled non-CMOS logic level signals driven onto non-CMOS logic level AC coupled interconnects in those circuits.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: January 25, 2011
    Assignee: QUALCOMM Incorporated
    Inventor: Robert John Schuelke
  • Patent number: 7877654
    Abstract: An addressable interface selectively enables JTAG TAP domain operations or Trace domain operations within an IC. After being enabled, the TAP receives TMS and TDI input from a single data pin. After being enabled, the Trace domain acquires data from a functioning circuit within the IC in response to a first clock and outputs the acquired data from the IC in response to a second clock. An addressable two pin interface loads and updates instructions and data to a TAP domain within the IC. The instruction or data update operations in multiple ICs occur simultaneously. A process transmits data from an addressed target device to a controller using data frames, each data frame comprising a header bit and data bits. The logic level of the header bit is used to start, continue, and stop the data transmission to the controller.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: January 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7877653
    Abstract: The disclosure provides a novel method and apparatus for inputting addresses to devices to select the device TAP for access. Further, the disclosure provides a novel method and apparatus for inputting addresses for selecting device TAPs and for inputting commands for commanding circuitry within the device. The inputting of addresses or the inputting of addresses and commands is initiated by a control bit input on TDI that is recognized during the Run Test/Idle, Pause-DR or Pause-IR TAP states.
    Type: Grant
    Filed: May 7, 2008
    Date of Patent: January 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7877651
    Abstract: Two common varieties of test interfaces exist for ICs and/or cores, the IEEE 1149.1 Test Access Port (TAP) interface and internal scan test ports. The TAP serves as a serial communication port for accessing a variety of circuitry including; IEEE 1149.1 boundary scan circuitry, built in self test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation/debug circuitry, and IEEE P1532 in-system programming circuitry. Internal scan test ports serve as a serial communication port for primarily accessing internal scan circuitry within ICs and cores. Today, the TAP and internal scan test ports are typically viewed as being separate test interfaces, each utilizing different IC pins and/or core terminals.
    Type: Grant
    Filed: April 21, 2010
    Date of Patent: January 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7877529
    Abstract: Synchronization management is provided for a continuous serial data streaming application wherein the serial data stream includes a plurality of consecutive, identical-length segments of consecutive serial data bits. Synchronization management bits are provided in each segment. The synchronization management bits are programmed such that the synchronization management bits contained in first and second adjacent segments of the serial data stream will bear a predetermined relationship to one another. At the receiving end, the synchronization management bits are examined from segment to segment. In this manner, synchronization can be monitored, synchronization loss can be detected, and synchronization recovery can be achieved.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: January 25, 2011
    Assignee: National Semiconductor Corporation
    Inventors: David J. Fensore, Robert L. Macomber, James E. Schuessler
  • Publication number: 20110016365
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Application
    Filed: September 22, 2010
    Publication date: January 20, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Publication number: 20110016366
    Abstract: An integrated circuit carries an intellectual property core. The intellectual property core includes a test access port 39 with test data input leads 15, test data output leads 13, control leads 17 and an external register present, ERP lead 37. A scan register 25 encompasses the intellectual property core and ERP lead 37 carries a signal indicating the presence of the scan register.
    Type: Application
    Filed: September 23, 2010
    Publication date: January 20, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7873886
    Abstract: An interface for converting a traditional scan-chain interface into one where locations in the scan-chain can be read or written to from an addressed interface is provided. The interface of the invention includes a scratch pad memory into which the values at the locations in the scan-chain are copied. Those copies in the scratch pad can be read and written to using an addressed interface and if any are changed the values held in the scratch pad are shifted out to update those in the original locations in the scan-chain.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: January 18, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Iain Robertson
  • Patent number: 7873889
    Abstract: The present disclosure describes using the JTAG Tap's TMS and/or TCK terminals as general purpose serial Input/Output (I/O) Manchester coded communication terminals. The Tap's TMS and/or TCK terminal can be used as a serial I/O communication channel between; (1) an IC and an external controller, (2) between a first and second IC, or (3) between a first and second core circuit within an IC. The use of the TMS and/or TCK terminal as serial I/O channels, as described, does not effect the standardized operation of the JTAG Tap, since the TMS and/or TCK I/O operations occur while the Tap is placed in a non-active steady state.
    Type: Grant
    Filed: May 18, 2010
    Date of Patent: January 18, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20110010593
    Abstract: A output storage latch within a combinational logic circuit may be adapted to form a scan flip-flop latch that supports both functional operation and scan chain testing of a combinational logic matrix included in the combinational logic circuit. A described master/slave clock approach allows the scan flip-flop latch to support receiving into a scan chain a sequence of test input data, execution of combinational logic matrix testing based on the test input data, and sequentially outputting test results to a test result register for comparison with expected results. The described scan flip-flop latch may be used along side unaltered output storage latches thereby allowing flexibility with respect to the number and placement scan chain test points within an integrated circuit. Use of the described dual-use scan flip-flop latch results in a less complex circuit design, reduced circuit area requirements and improved reliability.
    Type: Application
    Filed: August 3, 2010
    Publication date: January 13, 2011
    Applicant: Marvell International Ltd.
    Inventor: Manish SHRIVASTAVA
  • Publication number: 20110010594
    Abstract: The disclosure describes a process and apparatus for accessing devices on a substrate. The substrate may include only full pin JTAG devices (504), only reduced pin JTAG devices (506), or a mixture of both full pin and reduced pin JTAG devices. The access is accomplished using a single interface (502) between the substrate (408) and a JTAG controller (404). The access interface may be a wired interface or a wireless interface and may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Application
    Filed: September 16, 2010
    Publication date: January 13, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7870449
    Abstract: A testing circuit has a shift register circuit (76) for storing instruction data for the testing of an integrated circuit core. Each stage of the shift register circuit comprises a first shift register storage element (32) for storing a signal received from a serial input (wsi) and providing it to a serial output (wso) in a scan chain mode of operation, and a second parallel register storage element (38) for storing a signal from the first shift register storage element and providing it to a parallel output in an update mode of operation. The testing circuit further comprises a multiplexer (70) for routing either a serial test input to the serial input (wsi) of the shift register circuit or an additional input (wpi[n]) into the serial input of the shift register circuit (76).
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: January 11, 2011
    Assignee: NXP B.V.
    Inventor: Tom Waayers
  • Patent number: 7870452
    Abstract: A method of testing an integrated circuit, comprises providing a test vector to a shift register arrangement by providing test vector bits in series into the shift register arrangement (20) timed with a first, scan, clock signal (42). The test vector bits are passed between adjacent portions of the shift register arrangement timed with the first clock signal (42) and an output response of the integrated circuit to the test vector is provided and analyzed. The output response of the integrated circuit to the test vector is provided under the control of a second clock signal (56) which is slower than the first clock signal. This testing method speeds up the process by increasing the speed of shifting test vectors and results into and out of the shift register, but without comprising the stability of the testing process. Furthermore, the method can be implemented without requiring additional complexity of the testing circuitry to be integrated onto the circuit substrate.
    Type: Grant
    Filed: September 7, 2006
    Date of Patent: January 11, 2011
    Assignee: NXP B.V.
    Inventors: Laurent Souef, Didier Gayraud
  • Patent number: 7870451
    Abstract: Scan architectures are commonly used to test digital circuitry in integrated circuits. The present invention describes a method of adapting conventional scan architectures into a low power scan architecture. The low power scan architecture maintains the test time of conventional scan architectures, while requiring significantly less operational power than conventional scan architectures. The low power scan architecture is advantageous to IC/die manufacturers since it allows a larger number of circuits (such as DSP or CPU core circuits) embedded in an IC/die to be tested in parallel without consuming too much power within the IC/die. Since the low power scan architecture reduces test power consumption, it is possible to simultaneously test more die on a wafer than previously possible using conventional scan architectures. This allows wafer test times to be reduced which reduces the manufacturing cost of each die on the wafer.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: January 11, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Jayashree Saxena, Lee D. Whetsel
  • Patent number: 7870448
    Abstract: A method of in system diagnostics through scan matrix, and an integrated circuit chip in which the diagnostics are performed, are disclosed. The integrated circuit chip operable in a plurality of Boundary Scan test modes in which at least a part of the circuitry in the integrated circuit chip is tested, the integrated circuit chip comprises a scan matrix controller and an instruction register. The scan matrix controller is provided for partitioning said circuitry into multiple matrices, each of the matrices having a plurality of scan elements. The instruction register is provided for holding instructions for the scan matrix controller for partitioning the chip into said multiple matrices. The scan matrix controller is further arranged to test each of said matrices according to instructions in the instruction register by applying a test signal to the tested part of the circuitry.
    Type: Grant
    Filed: December 18, 2007
    Date of Patent: January 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Baalaji Ramamoorthy Konda, Kenneth Pichamuthu, Jayashri Arsikere Basappa, Anil Pothireddy
  • Patent number: 7870450
    Abstract: A process and apparatus provide a JTAG TAP controller (302) to access a JTAG TAP domain (106) of a device using a reduced pin count, high speed DDR interface (202). The access is accomplished by combining the separate TDI and TMS signals from the TAP controller into a single signal and communicating the TDI and TMS signals of the single signal on the rising and falling edges of the TCK driving the DDR interface. The TAP domain may be coupled to the TAP controller in a point to point fashion or in an addressable bus fashion. The access to the TAP domain may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: January 11, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7870429
    Abstract: For a control apparatus to be boundary scan testable even when running, including processor cores in an operator to be capable of self-repairing a troubling part, an operator (2) has processor cores (2a, 2b) connected to a boundary scan bus (12), and adapted to mutually diagnose opponent processor cores for troubles, by boundary scan testing each other in a time-dividing manner.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: January 11, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Nakatani, Yoshito Sameda, Akira Sawada, Jun Takehara, Kouichi Takene, Hiroyuki Nishikawa
  • Patent number: 7865791
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: January 4, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 7861128
    Abstract: A scan element with self scan-mode toggle is described. In an example, the scan element is configured to automatically switch between a capture mode and a scan mode. In the capture mode, data is captured from logic under test. In the scan mode, the captured data is scanned out for testing. The scan elements each include a shift register that serves a dual purpose of providing control for determining when the scan element is to switch from the capture mode and the scan mode, as well as providing a location to store captured data.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: December 28, 2010
    Assignee: Xilinx, Inc.
    Inventor: Christopher T. Moore
  • Patent number: 7861129
    Abstract: An integrated circuit on a semiconductor chip with a plurality of registers distributed across the semiconductor chip. The registers are writeable and readable. The integrated circuit comprises a central control block. The integrated circuit comprises a plurality of circuit units. The circuit unit includes a functional portion with a local clock controller and one or more of the registers. The circuit unit includes a satellite portion. The central control block and the satellite portions are serially connected together and form a scan chain, wherein the scan chain is formed as a ring.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: December 28, 2010
    Assignee: International Business Machines Corporation
    Inventors: Thuyen Le, Cedric Lichtenau, Martin Padeffke, Thomas Pflueger
  • Patent number: 7856581
    Abstract: Various methods and apparatuses are described for a system that includes some on-chip components, e.g., I-Os, test processors, soft wrappers, etc., an external testing unit that provides Parametric Measurement Unit (PMU) capability, and various tests performed on the I-Os by the on-chip testing logic, the test vector patterns supplied by the external testing unit.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: December 21, 2010
    Assignee: Synopsys, Inc.
    Inventors: Sassan Tabatabaei, Yervant Zorian
  • Patent number: 7853847
    Abstract: Various methods and apparatuses are described for a system that includes some on-chip components, e.g., I-Os, test processors, soft wrappers, etc., an external testing unit that provides Parametric Measurement Unit (PMU) capability, and various tests performed on the I-Os by the on-chip testing logic, the test vector patterns supplied by the external testing unit.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: December 14, 2010
    Assignee: Synopsys, Inc.
    Inventors: Sassan Tabatabaei, Yervant Zorian
  • Patent number: 7853846
    Abstract: A method for determining that failures in semiconductor test are due to a defect potentially causing a hold time violation in a scan cell in a scan chain, counting the number of potential defects, and, if possible, localizing, and ameliorating hold time defects in a scan chain.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: December 14, 2010
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Stephen A. Cannon, Richard C. Dokken, Alfred L. Crouch, Gary A. Winblad
  • Patent number: 7844869
    Abstract: A method and circuit implement testing of a circuit path including a memory array and logic including Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides are provided. Testing of the circuit path includes initializing the memory array in the circuit path with an initialization pattern, switching to Logic Built in Self Test (LBIST) mode and providing a read only mode for the memory array, and running Logic Built in Self Test (LBIST) testing of the circuit path.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis Bernard Bushard, Todd Alan Christensen, Jesse Daniel Smith
  • Publication number: 20100299568
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: August 3, 2010
    Publication date: November 25, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 7840863
    Abstract: A method and circuits for testing an integrated circuit at functional clock frequency by providing a test controller generating control signals that assure proper latching of test patterns in scan chains at tester frequency and propagation of the test pattern through logic circuits being tested at functional clock frequency.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: November 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gary D. Grise, Steven F. Oakland, Anthony D. Polson, Philip S. Stevens
  • Patent number: 7840864
    Abstract: A method and circuits for testing an integrated circuit at functional clock frequency by providing a test controller generating control signals that assure proper latching of test patterns in scan chains at tester frequency and propagation of the test pattern through logic circuits being tested at functional clock frequency.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: November 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gary D. Grise, Steven F. Oakland, Anthony D. Polson, Philip S. Stevens
  • Patent number: 7836370
    Abstract: A SCAN test circuit for giving a semiconductor integration circuit a scan test includes a scan enable signal generating device that generates scan enable signals based on a scan enable external input signal, a clock generator that generate launch and capture clocks for collectively detecting a delay malfunction at a practical operation speed, and a controller configured to control the clock generator based on the scan enable signals.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: November 16, 2010
    Assignee: Ricoh Company, Ltd.
    Inventors: Tomoki Satoi, Naohiko Nishigaki
  • Patent number: 7836369
    Abstract: A method for configuring IO pads, the method includes determining a current configuration of multiple IO pads of an integrated circuit and whereas the method is characterized by generating multiple boundary scan register words that comprise Configuration information; and repeating the stage of serially writing a certain boundary scan register word to a boundary scan register and outputting the boundary scan register word to multiple IO pad control circuits. A device that includes a core, connected to a boundary scan register, a TAP controller and multiple IO pad circuits, the device is characterized by including a control circuit adapted to determine a current configuration of the IO pads, to generate multiple boundary scan register words that comprise configuration information; and to control a repetition of: writing a certain boundary scan register word to the boundary scan register and outputting the boundary scan register word to multiple IO pad control circuits.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: November 16, 2010
    Assignee: Freescale Semiconductor, Inc
    Inventors: Michael Priel, Dan Kuzmin, Anton Rozen
  • Patent number: 7836365
    Abstract: Systems and methods for testing a circuit are provided. In one example, a sequential device for use in a scan chain is described. The sequential device may include a scan input, a scan output and a functional data output. The functional data output may be coupled to the scan input and to the scan output. The functional data output may be coupled to the scan output via a delay buffer.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: November 16, 2010
    Assignee: Broadcom Corporation
    Inventors: George E. Barbera, David C. Crohn
  • Publication number: 20100287428
    Abstract: A system for testing a circuit. The system comprises a first circuit mounted on an embedded first circuit board and a test circuit mounted on the embedded first circuit board. The system further comprises a second circuit board on the first circuit board, the second circuit board including a second circuit and a test device external to the first and second circuit board. The test circuit is effective to send at least one first test signal from the test circuit to the first circuit, receive a first response of the at least one first test signal from the first circuit, and forward the first response to the test device.
    Type: Application
    Filed: May 5, 2009
    Publication date: November 11, 2010
    Applicant: BAE SYSTEMS INFORMATION AND ELECTRONIC SYSTEMS INTEGRATION INC.
    Inventor: Michael W. Wernicki
  • Patent number: 7831870
    Abstract: An integrated circuit containing memory includes IEEE 1149.1 (JTAG) controlled self-repair system that permits permanent repair of the memory after the integrated circuit has been packaged. The JTAG controlled self-repair system allows a user to direct circuitry to blow fuses using an externally supplied voltage to electrically couple or isolate components to permanently repair a memory location with JTAG standard TMS and TCK signals. The system may optionally sequentially repair more than one memory location using a repair sequencer.
    Type: Grant
    Filed: April 21, 2010
    Date of Patent: November 9, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Yoshinori Fujiwara, Masayoshi Nomura
  • Patent number: 7831878
    Abstract: An IC includes an IEEE 1149.1 standard test access port (TAP) interface and an additional Off-Chip TAP interface. The Off-Chip TAP interface connects to the TAP of another IC. The Off Chip TAP interface can be selected by a TAP Linking Module on the IC.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: November 9, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel