Boundary Scan Patents (Class 714/727)
  • Patent number: 8601333
    Abstract: A method of and an arrangement for testing connections on a printed circuit board between boundary-scan compliant circuit terminals of one or more boundary-scan compliant devices mounted at the printed circuit board and comprising a boundary-scan register of boundary-scan cells of the boundary-scan compliant circuit terminals. Under control of an electronic processing unit, boundary-scan properties of the or each boundary-scan compliant device are retrieved, a list comprising boundary-scan compliant circuit terminals is displayed, and a selection of at least a first and second boundary-scan compliant circuit terminal is received. Based on this selection, a boundary-scan cell of a first boundary-scan compliant circuit terminal of a boundary-scan compliant device is operated as a driver and a boundary-scan cell of a second boundary-scan compliant circuit terminal of a boundary-scan compliant device is operated as a sensor. The driver is controlled through data provided to the boundary-scan register.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: December 3, 2013
    Assignee: Jtag Technologies B.V.
    Inventor: Petrus Marinus Cornelis Maria Van Den Eijnden
  • Publication number: 20130318413
    Abstract: Connection circuitry couples scan test port (STP) circuitry to test access port (TAP) circuitry. The connection circuitry has inputs connected to scan circuitry control output leads from the TAP circuitry, a select input lead, and a clock input lead. The connection circuitry has outputs connected to a scan enable (SE) input lead, a capture select (CS) input lead, and the scan clock (CK) input lead of the STP circuitry. The connection circuitry includes a multiplexer having a control input connected with a clock select lead from the TAP circuitry, an input connected with a functional clock lead, an input connected with the clock input lead, an input connected with a Clock-DR lead from the TAP circuitry, an OFF lead, and an output connected with the scan clock input lead.
    Type: Application
    Filed: July 29, 2013
    Publication date: November 28, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130318410
    Abstract: A method to perform component testing by supplying test patterns to a serial input pin coupled to an IEEE 1149.6 boundary-scan cell that is associated with an IEEE 1149.6 test receiver. The test receiver is configured to operate in a scan test mode. The output from the test receiver circuit is coupled to a logic block to be scan tested. The output from the logic block is coupled to a serial output pin on the integrated circuit during scan test mode. High performance integrated circuits can use SerDes pins in a scan test mode to be scan tested without impacting mission critical signals.
    Type: Application
    Filed: May 22, 2012
    Publication date: November 28, 2013
    Applicant: CISCO TECHNOLOGY, INC.
    Inventors: Hongshin Jun, William Eklow, Sun-Gyu Kim
  • Publication number: 20130318411
    Abstract: The disclosure describes a novel method and apparatus for providing expected data, mask data, and control signals to scan test architectures within a device using the falling edge of a test/scan clock. The signals are provided on device leads that are also used to provide signals to scan test architectures using the rising edge of the test/scan clock. According to the disclosure, device test leads serve to input different test signals on the rising and falling edge of the test/scan clock which reduces the number of interconnects between a tester and the device under test.
    Type: Application
    Filed: July 29, 2013
    Publication date: November 28, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130318412
    Abstract: The disclosure provides a novel method and apparatus for inputting addresses to devices to select the device TAP for access. Further, the disclosure provides a novel method and apparatus for inputting addresses for selecting device TAPs and for inputting commands for commanding circuitry within the device. The inputting of addresses or the inputting of addresses and commands is initiated by a control bit input on TDI that is recognized during the Run Test/Idle, Pause-DR or Pause-IR TAP states.
    Type: Application
    Filed: July 29, 2013
    Publication date: November 28, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8595681
    Abstract: A method is provided to evaluate whether one or more test patterns is power safe for use during manufacturing testing of an integrated circuit that includes a nonuniform power grid and that includes a scan chain, the method comprising: assigning respective toggle count thresholds for respective power grid regions of the non-uniform power grid; and determining whether respective numbers of toggles by scan elements of the scan chain within one or more respective power grid regions meet respective toggle count thresholds for the one or more respective regions during at least one scan-shift cycle in the course of scan-in of a test pattern to the scan chain.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: November 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Senthil Arasu Thirunavukarasu, Shaleen Bhabu, Vivek Chickermane
  • Publication number: 20130311841
    Abstract: Topology discovery of a target system having a plurality of components coupled with a scan topology may be performed by driving a low logic value on the data input signal and a data output signal of the scan topology. An input data value and an output data value for each of the plurality of components is sampled and recorded. A low logic value is then scanned through the scan path and recorded at each component. The scan topology may be determined based on the recorded data values and the recorded scan values.
    Type: Application
    Filed: July 23, 2013
    Publication date: November 21, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Publication number: 20130311842
    Abstract: The disclosure describes novel methods and apparatuses for controlling a device's TCA circuit when the device exists in a JTAG daisy-chain arrangement with other devices. The methods and apparatuses allow the TCA test pattern set used during device manufacturing to be reused when the device is placed in a JTAG daisy-chain arrangement with other devices, such as in a customers system using the device. Additional embodiments are also provided and described in the disclosure.
    Type: Application
    Filed: July 23, 2013
    Publication date: November 21, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8589747
    Abstract: Scan distributor, collector, and controller circuitry connect to the functional inputs and outputs of core circuitry on integrated circuits to provide testing through those functional inputs and outputs. Multiplexer and demultiplexer circuits select between the scan circuitry and the functional inputs and outputs. The core circuitry can also be provided with built-in scan distributor, collector, and controller circuitry to avoid having to add it external of the core circuitry. With appropriately placed built-in scan distributor and collector circuits, connecting together the functional inputs and outputs of the core circuitry also connects together the scan distributor and collector circuitry in each core. This can provide a hierarchy of scan circuitry and reduce the need for separate test interconnects and multiplexers.
    Type: Grant
    Filed: May 9, 2013
    Date of Patent: November 19, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8589748
    Abstract: Today many instances of IEEE 1149.1 Tap domains are included in integrated circuits (ICs). While all TAP domains may be serially connected on a scan path that is accessible external to the IC, it is generally preferred to have selectivity on which Tap domain or Tap domains are accessed. Therefore Tap domain selection circuitry may be included in ICs and placed in the scan path along with the Tap domains. Ideally, the Tap domain selection circuitry should only be present in the scan path when it is necessary to modify which Tap domains are selected in the scan path. The present disclosure describes a novel method and apparatus which allows the Tap domain selection circuitry to be removed from the scan path after it has been used to select Tap domains and to be replaced back into the scan path when it is necessary to select different Tap domains.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: November 19, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130305110
    Abstract: This disclosure describes a reduced pin bus that can be used on integrated circuits or embedded cores within integrated circuits. The bus may be used for serial access to circuits where the availability of pins on ICs or terminals on cores is limited. The bus may be used for a variety of serial communication operations such as, but not limited to, serial communication related test, emulation, debug, and/or trace operations of an IC or core design. Other aspects of the disclosure include the use of reduced pin buses for emulation, debug, and trace operations and for functional operations. In a fifth aspect of the present disclosure, an interface select circuit, FIGS. 41-49, provides for selectively using either the 5 signal interface of FIG. 41 or the 3 signal interface of FIG. 8.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventor: Lee D. Whetsel
  • Publication number: 20130305112
    Abstract: System and method for diagnosing failures within an integrated circuit is provided. In an embodiment, the apparatus includes a diagnostic cell coupled in series with a buffer chain. The diagnostic cell includes a plurality of logic operators that when activated invert a signal received from the buffer chain. The inversion of the signal from the buffer chain allows the diagnostic cell to determine the location of a failure within an integrated circuit previously determined by a scan chain design for test methodology to contain a failure.
    Type: Application
    Filed: July 16, 2013
    Publication date: November 14, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kin Lam Tong, Wei-Pin Changchien, Chin-Chou Liu
  • Publication number: 20130305108
    Abstract: IEEE 1149.1 Test Access Ports (TAPs) may be utilized at both IC and intellectual property core design levels. TAPs serve as serial communication ports for accessing a variety of embedded circuitry within ICs and cores including; IEEE 1149.1 boundary scan circuitry, built in test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation circuitry, and IEEE P1532 in-system programming circuitry. Selectable access to TAPs within ICs is desirable since in many instances being able to access only the desired TAP(s) leads to improvements in the way testing, emulation, and programming may be performed within an IC. A TAP linking module is described that allows TAPs embedded within an IC to be selectively accessed using 1149.1 instruction scan operations.
    Type: Application
    Filed: July 10, 2013
    Publication date: November 14, 2013
    Inventors: Lee D. Whetsel, Baher S. Haroun, Brian J. Lasher, Anjali Vij
  • Publication number: 20130305111
    Abstract: A circuit and method provide built-in measurement of delay changes in integrated circuit paths. The circuit includes a digital shift register to access multiple paths, and may be implemented in digital boundary scan to test I/O pin delays. Synchronous to a first frequency, the circuit applies an alternating signal to the paths and samples the paths' output logic values synchronous with a second frequency that is asynchronous and coherent to the first clock frequency. The shift register conveys the samples to a modulo counter that counts the number of samples between consecutive rising or consecutive falling edges in the signal samples from a selected path. Between the two edges, the path or a path characteristic is changed, and the resulting modulo count after the second edge is proportional to the change in delay. The circuit can compare the count, or the difference between counts, to test limits.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventor: Stephen Kenneth Sunter
  • Publication number: 20130305109
    Abstract: An integrated circuit can have plural core circuits, each having a test access port that is defined in IEEE standard 1149.1. Access to and control of these ports is though a test linking module. The test access ports on an integrated circuit can be arranged in a hierarchy with one test linking module controlling access to plural secondary test linking modules and test access ports. Each secondary test linking module in turn can also control access to tertiary test linking modules and test access ports. The test linking modules can also be used for emulation.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventor: Lee D. Whetsel
  • Patent number: 8583973
    Abstract: An integrated circuit chip that supports stored-pattern (SP) logic built-in self-testing (LBIST) includes a device under test (DUT) and a test controller. System-level SP LBIST testing is performed using an external, system ATE (automated test equipment) that transmits test input data to the test controller for application to the DUT, which generates test output data that is transmitted from the test controller to the system ATE, which performs golden signature comparisons on the test output data. During system-level DUT testing, all communications between the system ATE and the chip are via a single interface, such as a conventional, serial JTAG port.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: November 12, 2013
    Assignee: LSI Corporation
    Inventor: Sreejit Chakravarty
  • Publication number: 20130297981
    Abstract: A first apparatus, such as a die or a semiconductor package, has signal paths extending through the apparatus. The signal paths can include through vias and other components. The signal paths are operable to communicate with a second apparatus when the second apparatus is stacked with the first apparatus. The first apparatus also has pass gates. Each pass gate is configurable in response to a signal, to short a pair of the signal paths to enable substantially simultaneous testing of the signal paths. The pass gates may be configurable to isolate the signal paths during operation of the first apparatus.
    Type: Application
    Filed: June 29, 2012
    Publication date: November 7, 2013
    Applicant: QUALCOMM INCORPORATED
    Inventors: Shiqun Gu, Michael Laisne, Matthew M. Nowak, Glen T. Kim, Mark C. Chan, Hongjun Yao
  • Patent number: 8578225
    Abstract: An architecture for testing a plurality of circuits on an integrated circuit is described. The architecture includes a TAP Linking Module located between test pins on the integrated circuit and 1149.1 Test Access Ports (TAP) of the plurality of circuits to be tested. The TAP Linking Module operates in response to 1149.1 scan operations from a tester connected to the test pins to selectively switch between 1149.1 TAPs to enable test access between the tester and plurality of circuits. The TAP Linking Module's 1149.1 TAP switching operation is based upon augmenting 1149.1 instruction patterns to affix an additional bit or bits of information which is used by the TAP Linking Module for performing the TAP switching operation.
    Type: Grant
    Filed: January 9, 2013
    Date of Patent: November 5, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Baher S. Haroun, Lee D. Whetsel
  • Patent number: 8572543
    Abstract: A method for designing an integrated circuit is disclosed. The method generally comprises the steps of (A) splitting a design layout of the integrated circuit into a plurality of tiles, (B) adding a plurality of tie-to cells to the design layout, wherein at least one of the tie-to cells generating a tie-to signal at a particular logical level is added into each of the tiles having at least one gate with an input fixed to the particular logical level and (C) routing the tie-to signal to each of the inputs within each of the tiles.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: October 29, 2013
    Assignee: LSI Corporation
    Inventors: Juergen Dirks, Matthias Dinter, Ralf Leuchter
  • Patent number: 8572433
    Abstract: The disclosure describes a novel method and apparatus for improving the operation of a TAP architecture in a device through the use of Command signal inputs to the TAP architecture. In response to a Command signal input, the TAP architecture can perform streamlined and uninterrupted Update, Capture and Shift operation cycles to a target circuit in the device or streamlined and uninterrupted capture and shift operation cycles to a target circuit in the device. The Command signals can be input to the TAP architecture via the devices dedicated TMS or TDI inputs or via a separate CMD input to the device.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: October 29, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8572446
    Abstract: A test controller applies test stimulus signals to the input pads of plural die on a wafer in parallel. The test controller also applies encoded test response signals to the output pads of the plural die in parallel. The encoded test response signals are decoded on the die and compared to core test response signals produced from applying the test stimulus signals to core circuits on the die. The comparison produces pass/fail signals that are loaded in to scan cells of an IEEE 1149.1 scan path. The pass/fail signals then may be scanned out of the die to determine the results of the test.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: October 29, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130283111
    Abstract: A semiconductor device includes a scan chain that scans out latch signals of a plurality of latches; a first switch that selects any one of an output signal of a combinational circuit and a signal of the scan chain; a first latch that is inserted into the scan chain and receives an output signal of the first switch; a second latch that receives an output signal of the combinational circuit; and a second switch that selects any one of a latch signal of the first latch and a latch signal of the second latch and supplies the selected one to a combinational circuit in a following stage.
    Type: Application
    Filed: February 28, 2013
    Publication date: October 24, 2013
    Applicant: Fujitsu Semiconductor Limited
    Inventor: Masayuki TSUJI
  • Patent number: 8566068
    Abstract: Hardware emulation produces relevant and irrelevant trace data. Verification of a design under test requires knowledge of the relevant trace data. Data lines are provided to capture trace data from the hardware emulator's logic elements during emulation. The data lines connect the outputs of these logic elements to the inputs of a configurable interconnect circuit. The configurable interconnect circuit is capable of being programmed to select from a plurality of these inputs to connect a number of the data lines to a scan chain or trace data storage circuit. The configurable interconnect circuit can then selectively connect those data lines carrying relevant trace data to a trace data processing circuit. The trace data processing circuit may be a scan chain, analysis device or storage device or other suitable trace data processing device.
    Type: Grant
    Filed: May 24, 2012
    Date of Patent: October 22, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Cyril Quennesson, Pamphile Koumou
  • Patent number: 8566658
    Abstract: An integrated circuit comprises scan test circuitry and additional circuitry subject to testing utilizing the scan test circuitry. The scan test circuitry comprises at least one scan chain having a plurality of scan cells, with the scan chain being configured to operate as a serial shift register in a scan shift mode of operation and to capture functional data from at least a portion of the additional circuitry in a functional mode of operation. At least a given one of the scan cells of the scan chain comprises output control circuitry which is configured to disable a functional data output of the scan cell in the scan shift mode of operation and to disable a scan output of the scan cell in the functional mode of operation.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: October 22, 2013
    Assignee: LSI Corporation
    Inventors: Ramesh C. Tekumalla, Priyesh Kumar, Prakash Krishnamoorthy, Parag Madhani
  • Publication number: 20130275826
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Application
    Filed: June 4, 2013
    Publication date: October 17, 2013
    Inventor: Lee D. Whetsel
  • Patent number: 8560905
    Abstract: The disclosure describes novel methods and apparatuses for accessing test compression architectures (TCA) in a device using either a parallel or serial access technique. The serial access technique may be controlled by a device tester or by a JTAG controller. Further the disclosure provides an approach to access the TCA of a device when the device exists in a daisy-chain arrangement with other devices, such as in a customer's system. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: October 15, 2013
    Assignee: Texas Instruments Incororated
    Inventor: Lee D. Whetsel
  • Publication number: 20130268816
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores.—The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Application
    Filed: June 4, 2013
    Publication date: October 10, 2013
    Inventor: Lee D. Whetsel
  • Publication number: 20130268817
    Abstract: Scan testing and scan compression are key to realizing cost reduction and shipped quality. New defect types in ever more complex designs require increased compression. However, increased density of unknown (X) values reduces effective compression. A scan compression method can achieve very high compression and full coverage for any density of unknown values. The described techniques can be fully integrated in the design-for-test (DFT) and automatic test pattern generation (ATPG) flows. Results from using these techniques on industrial designs demonstrate consistent and predictable advantages over other methods.
    Type: Application
    Filed: June 5, 2013
    Publication date: October 10, 2013
    Inventors: Peter Wohl, John A. Waicukauski, Frederic J. Neuveux
  • Patent number: 8555123
    Abstract: A test device for an SoC test architecture has a test input port, a test output port, a plurality of cores, a register, and a plurality of user defined logics. The register has a plurality of bits corresponding to the cores. Each of the user defined logics is connected to a corresponding bit of the register and a corresponding one of the cores. Each of the user defined logic receives a plurality of test control signals, and receives the corresponding bit of the register to change values of the test control signals. Outputs of each of the user defined logics are connected to the corresponding core to determine whether a test instruction of the corresponding core is or is not needed to be updated.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: October 8, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Ming-Hsueh Wu, Kun-Lun Luo
  • Publication number: 20130262944
    Abstract: A leakage power control vector is loaded into existing test scan chain elements for application to circuit elements of a circuit in which the leakage currents are to be controlled. The vector is designed to configure the circuit elements into states in which leakage currents are reduced. A multiplexer selects the power control vector for loading into the scan chain elements, and a clock generator clocks the configuration vector into the scan chain elements. A sleep mode detector may be provided to configure the multiplexer to select the power control vector and to operate the clock generator to clock the power control vector into the scan chain elements when a sleep mode of the circuit is detected.
    Type: Application
    Filed: May 28, 2013
    Publication date: October 3, 2013
    Applicant: STMicroelectronics, Inc.
    Inventor: Razak Hossain
  • Patent number: 8549368
    Abstract: A multi-core integrated circuit includes first and second sets of processor cores and corresponding first and second test access ports (TAPs). The first and second TAPs are connected to corresponding first and second debug ports by way of corresponding first and second TAP controllers. The first and second sets of processor cores include first and second memory blocks and corresponding first and second built-in-self-testing (BIST) engines of different architectures. A control circuit configures the first and second TAP controllers and the connection between the first and second sets of processor cores and the first and second debug ports, for initiating the first and second BIST engines for testing the memory blocks using a predetermined test mode. A debug access module provides secure access to the first and second debug ports.
    Type: Grant
    Filed: May 19, 2012
    Date of Patent: October 1, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Amar Nath Deogharia, Ankush Srivastava
  • Publication number: 20130254606
    Abstract: The disclosure describes a novel method and apparatuses for allowing a controller to select and access different types of access ports in a device. The selecting and accessing of the access ports is achieved using only the dedicated TDI, TMS, TCK, and TDO signal terminals of the device. The selecting and accessing of device access ports can be achieved when a single device is connected to the controller, when multiple devices are placed in a daisy-chain arrangement and connected to the controller, or when multiple devices are placed in a addressable parallel arrangement and connected to the controller. Additional embodiments are also provided and described in the disclosure.
    Type: Application
    Filed: May 10, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130254608
    Abstract: In a first embodiment a Test Access Port (TAP) of IEEE standard 1149.1 is allowed to commandeer control from a Wrapper Serial Port (WSP) of IEEE standard P1500 such that the P1500 architecture, normally controlled by the WSP, is rendered controllable by the TAP. In a second embodiment (1) the TAP and WSP based architectures are merged together such that the sharing of the previously described architectural elements are possible, and (2) the TAP and WSP test interfaces are merged into a single optimized test interface that is operable to perform all operations of each separate test interface. One approach provides for the TAP to maintain access and control of the TAP instruction register, but provides for a selected data register to be accessed and controlled by either the TAP+ATC (Auxiliary Test Control bus) or by the discrete CaptureDR, UpdateDR, TransferDR, ShiftDR, and ClockDR WSP data register control signals.
    Type: Application
    Filed: May 14, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130254605
    Abstract: A process and apparatus provide a JTAG TAP controller (302) to access a JTAG TAP domain (106) of a device using a reduced pin count, high speed DDR interface (202). The access is accomplished by combining the separate TDI and TMS signals from the TAP controller into a single signal and communicating the TDI and TMS signals of the single signal on the rising and falling edges of the TCK driving the DDR interface. The TAP domain may be coupled to the TAP controller in a point to point fashion or in an addressable bus fashion. The access to the TAP domain may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Application
    Filed: May 6, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130254607
    Abstract: The peripheral circuitry (350, 360, ESD, BH) of an integrated circuit die on a wafer is tested without physically contacting the bond pads of the die.
    Type: Application
    Filed: May 10, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8543875
    Abstract: A method implemented to test a plurality of components coupled in a star configuration, each component having a test access port (TAP) controller. The method comprises performing a capture phase of a scan operation on all of the TAP controllers in the star configuration and sequentially selecting one of the TAP controllers at a time to perform a shift state. When all of the TAP controllers have been sequentially selected to perform the shift phase, the method further comprises selecting all of the TAP controllers to perform an update phase.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: September 24, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Publication number: 20130246872
    Abstract: A process of selecting alternative test circuitry within an integrated circuit enables a test access port. Scan test instruction data is loaded into an instruction register of a test access port TAP, the instruction data including information for selecting the alternative test circuitry. An Update-IR instruction update operation is performed at the end of the loading to output scan test control signals from the instruction register. A lockout signal is changed to an active state to disable the test access port and enable scan test circuits.
    Type: Application
    Filed: May 10, 2013
    Publication date: September 19, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130246873
    Abstract: A TAP linking module (21, 51) permits plural TAPs (TAPs 1-4) to be controlled and accessed from a test bus (13) via a single TAP interface (20).
    Type: Application
    Filed: May 14, 2013
    Publication date: September 19, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130246871
    Abstract: A Scan-BIST architecture is adapted into a low power Scan-BIST architecture. A generator 102, compactor 106, and controller 110 remain the same as in the known art. The changes between the known art Scan-BIST architecture and the low power Scan-BIST architecture involve modification of the known scan path into scan path 502, to insert scan paths A 506, B 508 and C 510, and the insertion of an adaptor circuit 504 in the control path 114 between controller 110 and scan path 502.
    Type: Application
    Filed: April 25, 2013
    Publication date: September 19, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8539291
    Abstract: An integrated circuit or circuit board includes functional circuitry and a scan path. The scan path includes a test data input lead, a test data output lead, a multiplexer, and scan cells. A dedicated scan cell has a functional data output separate from a test data output. Shared scan cells each have a combined output for functional data and test data. The shared scan cells are coupled in series. The test data input of the first shared scan cell is connected to the test data output of the dedicated scan cell. The combined output of one shared scan cell is coupled to the test data input lead of another shared scan cell. The multiplexer has an input coupled to the test data output, an input connected to the combined output lead of the last shared scan cell in the series, and an output connected in the scan path.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: September 17, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8539293
    Abstract: An integrated circuit for performing a design for testability (DFT) scan test is provided. The integrated circuit includes at least one scan chain including a plurality of flip-flops, at least one interface scan chain including a plurality of flip-flops, a decompressor configured to be connected with an input terminal of the at least one interface scan chain and to decompress a first input signal and then transmit it to the at least one scan chain, a compressor configured to be connected with an output terminal of the at least one scan chain and to compress an output signal of the at least one scan chain, and at least one multiplexer configured to be connected with the decompressor and to selectively output an output signal of the decompressor or a second input signal in response to a control signal.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: September 17, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heon-Hee Lee, Hoi Jin Lee
  • Patent number: 8539295
    Abstract: An integrated circuit carries an intellectual property core. The intellectual property core includes a test access port 39 with test data input leads 15, test data output leads 13, control leads 17 and an external register present, ERP lead 37. A scan register 25 encompasses the intellectual property core and ERP lead 37 carries a signal indicating the presence of the scan register.
    Type: Grant
    Filed: February 12, 2013
    Date of Patent: September 17, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130238948
    Abstract: A semiconductor integrated circuit includes a first register configured to store a first value indicating whether or not a macro is in a state at a first time point, and to update the first value to a second value indicating whether or not the macro is in the state at a second time point after the first time point, and a second register configured to store and retain the first value, and not to update the first value to the second value.
    Type: Application
    Filed: April 19, 2013
    Publication date: September 12, 2013
    Applicant: Renesas Electronics Corporation
    Inventors: Shuichi Kunie, Hiroki Machimura
  • Patent number: 8533546
    Abstract: The present disclosure provides systems and methods for testing an integrated circuit or device under test (DUT). A DUT of the present invention has a plurality of scan chains, a plurality of shift register elements each associated with a respective one of the scan chains, and a programmable switch matrix to configure shift register elements of a subset of the plurality of shift register elements to cause one shift register element of the subset to receive an interleaved test sequence, and to cause the interleaved test sequence to be shifted to other shift register elements in the subset, and to input deinterleaved test sequences to scan chains associated with the subset.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: September 10, 2013
    Assignee: PMC-Sierra US, Inc.
    Inventors: Kenneth William Ferguson, Steven Yu Peng Ng, Bradley Burke, Michel Duchesneau, Aaron John Dennis, Philip Lyon Northcott, Kenneth David Wagner
  • Patent number: 8533547
    Abstract: A method for applying test patterns to scan chains in a circuit-under-test. The method includes providing a compressed test pattern of bits; decompressing the compressed test pattern into a decompressed test pattern of bits as the compressed test pattern is being provided; and applying the decompressed test pattern to scan chains of the circuit-under-test. The actions of providing the compressed test pattern, decompressing the compressed test pattern, and applying the decompressed pattern are performed synchronously at the same or different clock rates, depending on the way in which the decompressed bits are to be generated. A circuit that performs the decompression includes a decompressor such as a linear finite state machine adapted to receive a compressed test pattern of bits. The decompressor decompresses the test pattern into a decompressed test pattern of bits as the compressed test pattern is being received.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: September 10, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Jerzy Tyszer, Mark Kassab, Nilanjan Mukherjee
  • Patent number: 8533548
    Abstract: Wrapper cells for simultaneous testing of parent functional elements and child functional elements in a hierarchical SoC (System on Chip) provide a substantially reduced integrated circuit footprint by eliminating a multiplexer and providing simpler interconnections. Identical wrapper cells may be used for input and output data lines reducing the cost of the cell library.
    Type: Grant
    Filed: November 11, 2010
    Date of Patent: September 10, 2013
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Kyuchull Kim, Kewal K. Saluja
  • Publication number: 20130232388
    Abstract: Functional circuits and cores of circuits are tested on integrated circuits using scan paths. Using parallel scan distributor and collector circuits for these scan paths improves test access of circuits and cores embedded within ICs and reduces the IC's power consumption during scan testing. A controller for the distributor and collector circuits includes a test control register, a test control state machine and a multiplexer. These test circuits can be connected in a hierarchy or in parallel. A conventional test access port or TAP can be modified to work with the disclosed test circuits.
    Type: Application
    Filed: April 8, 2013
    Publication date: September 5, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20130232387
    Abstract: An address and command port interface selectively enables JTAG TAP domain operations and Trace domain operations within an IC. The port carries TMS and TDI input and TDO output on a single pin and receives a clock signal on a separate pin. The addressable two pin interface loads and updates instructions and data to the TAP domain within the IC. The instruction or data update operations in multiple ICs occur simultaneously. A process transmits data from an addressed target device to a controller using data frames, each data frame comprising a header bit and data bits. The logic level of the header bit is used to start, continue, and stop the data transmission to the controller. A data and clock signal interface between a controller and multiple target devices provides for each target device to be individually addressed and commanded to perform a JTAG or Trace operation.
    Type: Application
    Filed: March 27, 2013
    Publication date: September 5, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8527823
    Abstract: The disclosure describes novel methods and apparatuses for controlling a device's TCA circuit when the device exists in a JTAG daisy-chain arrangement with other devices. The methods and apparatuses allow the TCA test pattern set used during device manufacturing to be reused when the device is placed in a JTAG daisy-chain arrangement with other devices, such as in a customers system using the device. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: September 3, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8527825
    Abstract: A method and apparatus for performing a memory dump. The method includes providing a memory location from a debugger to a memory array through a BIST wrapper, and receiving data by the debugger read from the memory location in the memory array. The method can include sending a dump enable signal from the debugger, and the BIST wrapper selectively providing the memory location to the memory array in response to the dump enable signal. The method can include sending the dump enable signal to a multiplexer coupled to a register in the BIST wrapper, the dump enable signal causing the multiplexer to load the register with the memory location. The method can include asynchronously sending a write disable signal to the memory array before reading the data from the memory location. The received data can be selected from a larger set of data read from the memory location.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: September 3, 2013
    Assignee: QUALCOMM Incorporated
    Inventors: Hong S. Kim, Paul F. Policke, Paul Douglas Bassett