Random Pattern Generation (includes Pseudorandom Pattern) Patents (Class 714/728)
  • Patent number: 7865795
    Abstract: Methods and apparatuses for generating a random sequence of commands for a semiconductor device. The method generates random state transitions within a finite state machine model of the semiconductor device. A sequence of commands is determined which are associated to the generated random state transitions based on the finite state machine model of the semiconductor device.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: January 4, 2011
    Assignee: Qimonda AG
    Inventors: Thomas Nirmaier, Wolfgang Spirkl
  • Patent number: 7865789
    Abstract: A system and method for verifying system-on-chip interconnect includes a first linear feedback shift register coupled to an output interface of a first system-on-chip component, a second linear feedback shift register instantiated in a second system-on-chip component, and a comparator coupled to the second linear feedback shift register and the input interface of the second system-on-chip. Another method for verifying includes generating a pseudo-random number sequence with the first linear feedback shift register and the second linear feedback shift register using an identical first initial state, and comparing an output of the first linear feedback shift register with an output of the second linear feedback shift register and reporting a miss-compare.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Serafino Bueti, Adam Courchesne, Kenneth J. Goodnow, Gregory J. Mann, Jason M. Norman, Stanley B. Stanski, Scott T. Vento
  • Patent number: 7865794
    Abstract: A novel decompressor/PRPG on a microchip performs both pseudo-random test pattern generation and decompression of deterministic test patterns for a circuit-under-test on the chip. The decompressor/PRPG has two phases of operation. In a pseudo-random phase, the decompressor/PRPG generates pseudo-random test patterns that are applied to scan chains within the circuit-under test. In a deterministic phase, compressed deterministic test patterns from an external tester are applied to the decompressor/PRPG. The patterns are decompressed as they are clocked through the decompressor/PRPG into the scan chains. The decompressor/PRPG thus provides much better fault coverage than a simple PRPG, but without the cost of a complete set of fully-specified deterministic test patterns.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: January 4, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Jerzy Tyszer, Mark Kassab, Nilanjan Mukherjee
  • Patent number: 7865854
    Abstract: A method for allowing simultaneous parameter-driven and deterministic simulation during verification of a hardware design, comprising: enabling a plurality of random parameter-driven commands from a random command generator to execute in a simulation environment during verification of the hardware design through a command managing device; and enabling a plurality of deterministic commands from a manually-driven testcase port to execute in the simulation environment simultaneously with the plurality of random parameter-driven commands during verification of the hardware design through the command managing device, the plurality of deterministic commands and the plurality of random parameter-driven commands each verify the functionality of the hardware design.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Duane A. Averill, Christopher T. Phan, Corey V. Swenson, Sharon D. Vincent
  • Patent number: 7853846
    Abstract: A method for determining that failures in semiconductor test are due to a defect potentially causing a hold time violation in a scan cell in a scan chain, counting the number of potential defects, and, if possible, localizing, and ameliorating hold time defects in a scan chain.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: December 14, 2010
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Stephen A. Cannon, Richard C. Dokken, Alfred L. Crouch, Gary A. Winblad
  • Patent number: 7853633
    Abstract: A system and method for generating random permutations of elements (e.g., integers) in a test generation tool by providing one or more ordered ranges (110-170), each represented by a low and high value. Initially a single range is provided corresponding to the entire set of integer to be permuted. A random integer is then selected within this range, and this selected integer is removed by excluding the selected element. This exclusion is achieved by either splitting the range (110) into two ranges (120, 130), or, if the selected integer is the lowest or highest value of an existing range, adapting the end of the range. Subsequently, one of the ranges in the list of ranges is selected randomly and an element within the selected range is selected randomly and excluded, and so on. In this way a random permutation of all the elements in the original range is generated in the test generation tool.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: December 14, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steve Poole
  • Patent number: 7844869
    Abstract: A method and circuit implement testing of a circuit path including a memory array and logic including Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides are provided. Testing of the circuit path includes initializing the memory array in the circuit path with an initialization pattern, switching to Logic Built in Self Test (LBIST) mode and providing a read only mode for the memory array, and running Logic Built in Self Test (LBIST) testing of the circuit path.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis Bernard Bushard, Todd Alan Christensen, Jesse Daniel Smith
  • Patent number: 7840865
    Abstract: A built-in self-test (BIST) circuit is disclosed that allows high fault coverage. Additionally, a method is disclosed for implementing the BIST circuit. In one aspect, the BIST circuit includes a plurality of scan chains that receive test patterns used in testing the integrated circuit. A pseudo random pattern generator provides test patterns to the scan chains. Weight select logic is positioned between the scan chains and the pseudo random pattern generator and controls the weightings of the test patterns that are loaded in the scan chains. In another aspect, the weight select logic can switch the weightings of the test patterns on a per-scan-cell basis. Thus, as the scan chains are loading, the weight select logic can effectively switch between test patterns being loaded into the scan chains.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: November 23, 2010
    Assignee: Mentor Graphics Corporation
    Inventors: Liyang Lai, Wu-Tung Cheng, Thomas Hans Rinderknecht
  • Publication number: 20100293424
    Abstract: A semiconductor integrated circuit includes: a plurality of flip-flops connected to a scan chain set as a test path of an integrated circuit; and a data-collection section inputting setting values of the plurality of flip-flops connected to the scan chain through the scan chain or an independent connection path, wherein the data-collection section inputs the setting values of the flip-flops at the time the power has been turned on to the plurality of flip-flops, and performs generation processing of random numbers, or random-number generation data, or fixed data on the basis of the input values.
    Type: Application
    Filed: May 10, 2010
    Publication date: November 18, 2010
    Inventors: Masanobu Katagi, Asami Yoshida, Hirotake Yamamoto
  • Patent number: 7836365
    Abstract: Systems and methods for testing a circuit are provided. In one example, a sequential device for use in a scan chain is described. The sequential device may include a scan input, a scan output and a functional data output. The functional data output may be coupled to the scan input and to the scan output. The functional data output may be coupled to the scan output via a delay buffer.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: November 16, 2010
    Assignee: Broadcom Corporation
    Inventors: George E. Barbera, David C. Crohn
  • Publication number: 20100287429
    Abstract: A test pattern generating device generates a test pattern with respect to a semiconductor circuit having first and second common circuits and a non-common circuit other than the first and second common circuits, wherein each of the first and second common circuits has a scan chain for checking an operation of the circuit by applying a test pattern from the outside of the circuit. A set of scan chains and a set of assumed faults are created for each of the first and second common circuits. Any of the first and second common circuits is determined as the common circuit of a first test target. After the determined common circuit of the first test target is subjected to ATPG and detection of circuit fault, a test pattern generated in successful ATPG about the common circuit of the first test target is diverted to the common circuit determined as the second test target, and ATPG and detection of a circuit fault of the non-common circuit part is carried out.
    Type: Application
    Filed: July 9, 2010
    Publication date: November 11, 2010
    Applicant: Fujitsu Limited
    Inventor: Daisuke Maruyama
  • Patent number: 7831879
    Abstract: A solution for generating functional coverage bins for testing a device is disclosed. A method includes: receiving information of a failing test generated from a random simulation performed on the device; tracing a first sequence of signal events that happened in the failing test; correlating the signal events to coverage bins to generate a sequence of coverage bins; creating cross coverage event sequence bins based on the sequence of coverage bins; and outputting the created coverage event sequence bins for testing the device.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: November 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Bruce J. Ditmyer, Susan Farmer Bueti, Jonathan P. Ebbers, Suzanne Granato, Francis A. Kampf, Barbara L. Powers, Louis Stermole
  • Publication number: 20100269002
    Abstract: According to various illustrative embodiments, a method and system for toggling a scan enable signal are described. In one aspect, the method comprises setting a scanin seed and resetting a monitor, generating random shift patterns, and resetting the monitor a second time. The method also comprises generating the random shift patterns a second time and strobing an activity flag. The method also comprises resetting the monitor a third time and enabling the scan enable signal toggling mechanism, and generating random shift/capture patterns repeatedly at least a predetermined number of times.
    Type: Application
    Filed: April 21, 2009
    Publication date: October 21, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Alessandro Paglieri
  • Patent number: 7810012
    Abstract: An improved format is disclosed for storing a randomized data block in a storage device. The data block format includes a data field, an identifier (ID) field for storing an identifier that identifies data stored in the data field, and a randomizer seed field for storing a randomizer seed. An error check character generator generates an ID field error check character utilizing said identifier. The randomizer seed includes the ID field error check character.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: October 5, 2010
    Assignee: Oracle America, Inc.
    Inventors: Keith Gary Boyer, Thomas G. Liehe
  • Patent number: 7796754
    Abstract: An information recording and/or reproduction processing apparatus is provided. The information recording and/or reproduction processing apparatus is provided by which additional information such as copy protection information is recorded as encrypted data on an information recording medium and also a cryptographic key is recorded such that the difficulty in analysis thereof is promoted. Where additional information such as copy protection information is encrypted and recorded and also a cryptographic key for the encrypted additional information is recorded together, a seed is generated based on an error correction code set corresponding to the additional information. Then, a scrambling or bit position conversion process in which random numbers generated based on the seed are applied is executed for the cryptographic key data, and resulting cryptographic key data is recorded on an information recording medium.
    Type: Grant
    Filed: October 22, 2004
    Date of Patent: September 14, 2010
    Assignee: Sony Corporation
    Inventor: Shoei Kobayashi
  • Patent number: 7793184
    Abstract: A method, system and computer readable medium for on-chip testing is presented. In one embodiment, the method, system or computer readable medium includes identifying which LBIST channels of a plurality of LBIST channels do not contribute to a particular test and excluding from that particular test each LBIST channel that does not contribute to that particular test.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven M. Douskey
  • Patent number: 7788560
    Abstract: An interleaver has an input multiplexer that receives a data sequence at an interleaver input and that separates the data sequence into multiple data sub-blocks. The interleaver has a linear feedback shift register that generates an input address sequence. The interleaver has adder circuits that generate output address sequences associated with each data sub-block. The interleaver has memory that stores the data sub-blocks at addresses controlled by the input address sequence. The memory reproduces each data sub-block in an interleaved sequence controlled by the associated output address sequence. The interleaver has an output multiplexer that assembles the interleaved sequences to provide an interleaver output.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: August 31, 2010
    Assignee: Seagate Technology LLC
    Inventors: Cenk Argon, Richard Martin Born, Gregory Lee Silvus, Thomas Victor Souvignier, Peter Igorevich Vasiliev
  • Publication number: 20100218059
    Abstract: This invention generates the random seed patterns using simple, low-area overhead digital circuitry on-chip. This circuit is implemented as a finite state machine whose states are the seeds as contrasted to storing the seeds in the prior art. These seeds are used to control pseudo-random pattern generation for built-in self-tests. This invention provides a large reduction in chip area in comparison with storing seeds on-chip or off-chip.
    Type: Application
    Filed: February 22, 2010
    Publication date: August 26, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Swathi Gangasani, Srinivasulu Alampally, Divya Divakaran, Rubin Ajit Parekhji, Amit Kumar Dutta, Srivaths Ravi
  • Patent number: 7778790
    Abstract: A semiconductor integrated circuit device includes a plurality of flip-flops configured to form a scan chain in a scan path test to operate as a shift register. The first flip-flop of the plurality of flip-flops latches a first input signal in synchronization with a clock signal, outputs a first output signal and fixes the first output signal based on the first selection control signal. A second flip-flop of the plurality of flip-flops latches a second input signal in synchronization with the clock signal, outputs a second output signal, and fixes the second output signal based on a second selection control signal. The semiconductor integrated circuit device further includes a control circuit configured to generate the first and second selection control signals such that a period during which the first flip-flop fixes the first output signal is different from a period during which the second flip-flop fixes the second output signal.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: August 17, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Nobuo Furuya
  • Patent number: 7761764
    Abstract: A system and method for self-test of an integrated circuit are disclosed. As one example, an integrated circuit is disclosed. The integrated circuit includes a digital signal processing chain, a random sequence generator coupled to an input of the digital signal processing chain, and a checksum calculator coupled to an output of the digital signal processing chain.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: William M. Hurley
  • Patent number: 7761761
    Abstract: A pattern correcting device corrects random test patterns generated by pseudo random number pattern generator (PRPG) into test patterns for a test to be input to shift registers. A pattern correcting device corrects the test patterns in unit of specified group, and individually releases correction of the test patterns when the correction in unit of the group is not appropriate. Furthermore, an unknown value mask device masks shift registers that output unknown values based on a control signal, and individually releases a mask of a shift register that outputs a fault value.
    Type: Grant
    Filed: May 2, 2007
    Date of Patent: July 20, 2010
    Assignee: Fujitsu Limited
    Inventors: Tatsuru Matsuo, Takahisa Hiraide
  • Patent number: 7757142
    Abstract: Self-synchronizing techniques for checking the accuracy of a pseudorandom bit sequence (PRBS) are provided. The PRBS being checked may be generated by a device (e.g., a device under test) in response to a PRBS received by the device (e.g., from a PRBS generator). In an aspect of the invention, a PRBS checking technique includes the following steps/operations. For a given clock cycle, the presence of an error bit in the PRBS generated by the device is detected. The error bit represents a mismatch between the PRBS input to the device and the PRBS output from the device. Then, propagation of the error bit is prohibited for subsequent clock cycles. The prohibition step/operation may serve to avoid multiple errors being counted for a single error occurrence and/or masking errors in the PRBS output by the device.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: July 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Mohit Kapur, Seongwon Kim
  • Patent number: 7757091
    Abstract: A self-authenticating printed document (101) comprises text and a symbol (102) printed on the document (101). The symbol (102) includes a verification value, which is representative of the entire data content of the text, and error correction codes for correcting the text. The verification value is used to check the integrity of the text after the document has been corrected using the error correction codes.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: July 13, 2010
    Assignee: Abathorn Limited
    Inventors: John Duffell, Laurence O'Toole, Thomas Martin
  • Patent number: 7752515
    Abstract: An architecture and methodology for test data compression using combinational functions to provide serial coupling between consecutive segments of a scan-chain are described. Compressed serial-scan sequences are derived starting from scan state identifying desired Care_In values and using symbolic computations iteratively in order to determine the necessary previous scan-chain state until computed previous scan-chain state matches given known starting scan-chain state. A novel design for a new flip-flop is also presented that allows implementing scan-chains that can be easily started and stopped without requiring an additional control signal. Extensions of the architecture and methodology are discussed to handle unknown (X) values in scan-chains, proper clocking of compressed data into multiple scan-chains, the use of a data-spreading network and the use of a pseudo-random signal generator to feed the segmented scan-chains in order to implement Built In Self Test (BIST).
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: July 6, 2010
    Inventors: Bulent I. Dervisoglu, Laurence H. Cooke
  • Patent number: 7743306
    Abstract: The X-type of each bit permutation is determined (step 301). When there are X-types except for X-type 1, i.e., X-type with no don't-care bits, total capture state transition numbers TECTA1 and TECTA2 for capture clock pulses C1 and C2 are calculated (step 303). As a result, when TECTA1>TECTA2, an X-type is selected for the capture clock pulse C1 and a first X-filling processing is performed (see step 305). On the other hand, when TECTA1?TECTA2, an X-type is selected for the capture clock pulse C2 and a second X-filling processing is performed (step 306).
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: June 22, 2010
    Assignee: Kyushu Institute of Technology
    Inventors: Xiaoqing Wen, Seiji Kajihara
  • Patent number: 7734973
    Abstract: An apparatus enables a high quality test to be carried out within a short time, without forcing a severe design limitation on the designer and without an expensive tester. The apparatus includes a pattern generator built in an integrated circuit to generate pseudo random patterns as test patterns. A plurality of shift registers are configured with sequential circuit elements inside said integrated circuit. An automatic test pattern generating unit generates ATPG patterns. A pattern modifier modifies a portion, to which a predetermined value is required to be set in order to detect a fault, in said pseudo random patterns generated by said pattern generator, on a basis of said ATPG patterns, and inputs said modified pseudo random patterns to said shift registers.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: June 8, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Takahisa Hiraide, Hitoshi Yamanaka
  • Patent number: 7725787
    Abstract: A method of testing a programmable device begins by programming at least a portion of the programmable device in accordance with at least a portion of an application to produce a programmed circuit, wherein the programmed circuit includes an input sequential element and an output sequential element. The method continues by providing a test input to the programmed circuit. The method continues by triggering the input sequential element to temporarily store the test input based on a first edge of the test clock. The method continues by triggering the output sequential element to temporarily store a test output of the programmed circuit based on a second edge of the test clock. The method continues by capturing the test output of the programmed circuit in accordance with the second edge of the test clock.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: May 25, 2010
    Assignee: Xilinx, Inc.
    Inventors: Robert W. Wells, Shekhar Bapat, Tassanee Payakapan, Shahin Toutounchi
  • Patent number: 7721172
    Abstract: A broadcaster, system, and method for reducing test data volume and test application time in an ATE (automatic test equipment) in a scan-based integrated circuit. The scan-based integrated circuit contains multiple scan chains, each scan chain comprising multiple scan cells coupled in series. The broadcaster is a combinational logic network coupled to an optional virtual scan controller and an optional scan connector. The virtual scan controller controls the operation of the broadcaster. The system transmits virtual scan patterns stored in the ATE and generates broadcast scan patterns through the broadcaster for testing manufacturing faults in the scan-based integrated circuit. The number of scan chains that can be supported by the ATE is significantly increased. Methods are further proposed to reorder scan cells in selected scan chains, to generate the broadcast scan patterns and virtual scan patterns, and to synthesize the broadcaster and a compactor in the scan-based integrated circuit.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: May 18, 2010
    Assignee: Syntest Technologies, Inc.
    Inventors: Laung-Terng (L.-T.) Wang, Boryau (Jack) Sheu, Zhigang Jiang, Zhigang Wang, Shianling Wu
  • Publication number: 20100107023
    Abstract: Various example embodiments are disclosed. According to one example embodiment, an integrated circuit may include a mode block, a plurality of data blocks, and a reset node. The mode block may be configured to output a test mode signal, a scan mode signal, and a trigger signal based on a received data input. The plurality of data blocks may each include registers configured to store data, each of the plurality of data blocks being configured to write over at least some of the data stored in their respective registers in response to receiving a write-over instruction. The reset node may be configured to reset the registers based on receiving either a first reset input or a second reset input. The integrated circuit may be configured to enter a test mode, enter a scan mode, and exit the test mode.
    Type: Application
    Filed: October 28, 2008
    Publication date: April 29, 2010
    Applicant: Broadcom Corporation
    Inventors: Amar Guettaf, Love Kothari
  • Patent number: 7707471
    Abstract: Provided is a method of forming reference information for defining a fault pattern of equipment, and monitoring equipment. One example embodiment method may include performing an angle spectrum analysis by re-classifying fault points distributed on a plane, the plane including a first component axis and a second component axis, and the re-classifying fault points including calculating an angle for each of the fault points with reference to any one of the first component axis and the second component axis of the plane, and forming a reference fault pattern for defining a fault pattern of the re-classified fault points.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: April 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hak Lee, Tae-Jin Yun, Won-Soo Choi, Mun-Hee Lee
  • Patent number: 7707467
    Abstract: An I/O compression apparatus, for testing a memory array and/or a logic circuit, is comprised of a selectable compression circuit that outputs compressed test data from the memory array/logic circuit. An I/O scan register is coupled to each I/O pad for converting serial data to parallel and parallel data to serial in response to a test mode select signal, a test data input, and a test clock.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: April 27, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Benjamin Louie, Scott N. Gatzemeier, Adam Johnson, Frankie F. Roohparvar
  • Publication number: 20100100781
    Abstract: Scan testing and scan compression are key to realizing cost reduction and shipped quality. New defect types in ever more complex designs require increased compression. However, increased density of unknown (X) values reduces effective compression. A scan compression method can achieve very high compression and full coverage for any density of unknown values. The described techniques can be fully integrated in the design-for-test (DFT) and automatic test pattern generation (ATPG) flows. Results from using these techniques on industrial designs demonstrate consistent and predictable advantages over other methods.
    Type: Application
    Filed: January 30, 2009
    Publication date: April 22, 2010
    Applicant: Synopsys, Inc.
    Inventors: Peter Wohl, John A. Waicukauski, Frederic J. Neuveux
  • Patent number: 7693676
    Abstract: Low power design is a critical concern and metric for integrated circuits. During scan based manufacturing test, electric power dissipation becomes even more critical as the chip may not have been designed to tolerate excessive switching during scan test. Excessive electric power dissipation during scan test can result in excessive voltage variations, reduced noise margins and other signal integrity issues which could invalidate the test or may lead to premature chip failure. Power dissipation during test is minimized by selecting particular values for the unused care-bits in values of the test vectors on a probabilistic basis to minimize switching, while preserving test vector quality.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: April 6, 2010
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brion L. Keller, Vivek Chickermane, Sandeep Bhatia
  • Patent number: 7681098
    Abstract: Systems and methods for improved fault coverage of logic built-in-self-tests (LBISTs) in integrated circuits (ICs) by determining weighting and/or seed values to be used in generating pseudorandom test bit patterns for each channel to optimize fault coverage. In one embodiment, a method includes generating a pseudorandom sequence of bits, applying a weighting value to the sequence, propagating the weighted sequence through one or more levels of logic, and capturing the resulting data. Metrics are then applied to the captured data to determine the suitability or optimality of the weighting value, and an optimal weighting value is selected. This may be performed for a plurality of trial values for each of a number of channels to obtain a set of weighting values for the different LBIST channels. The method may also include determining a seed value for the pseudorandom bit pattern generator.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: March 16, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7676717
    Abstract: An electronic circuit includes configurable cells with a test input and an output. The configurable cells are capable of being connected to one another in a chain in a predefined order via the test inputs and the outputs to form a test shift register if they receive a chaining command signal. A connection control module disconnects the test input from at least one configurable cell if the connection control module receives an invalid identification key. The connection control module leaves disconnected the test input from the at least one configurable cell, or applies a constant potential on the test input of the at least one configurable cell, or connects the test input of the at least one configurable cell at an output of a random-data generator.
    Type: Grant
    Filed: February 12, 2007
    Date of Patent: March 9, 2010
    Assignee: STMicroelectronics SA
    Inventors: Frédéric Bancel, David Hely
  • Patent number: 7673204
    Abstract: A method is provided that uses non-linear data compression in order to generate a set of test vectors for use in scan testing an integrated circuit. The method includes the steps of initially designing the set of test vectors, and selecting one of multiple available coding schemes for each test vector wherein at least two of the coding schemes selected for encoding are different from one another, and wherein one of the available coding schemes represents non-encoded data. The method further comprises operating a random pattern generator to generate data blocks, each corresponding to one of the test vectors, wherein the data block corresponding to a given test vector is encoded with a bit pattern representing the coding scheme of the given test vector. The corresponding data block also has a bit length that is less than the bit length of the given test vector.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: March 2, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gahn W. Krishnakalin, Emiliano Lozano, Bao G. Truong, Samuel I. Ward
  • Patent number: 7661039
    Abstract: A self-synchronizing data bus analyzer is provided which can include a generator linear feedback shift register (LFSR) to generate a first data set, and can include a receiver LFSR to generate a second data set. The data bus analyzer may also include a bit sampler to sample the first data set received through a data bus coupled to the generator LFSR and output a sampled first data set. A comparator can be included to compare the sampled first data set with the second data set generated by the receiver LFSR and provide a signal to the receiver LFSR to adjust a phase of the receiver LFSR until the second data set is substantially the same as the first data set.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gerard Boudon, Didier Malcavet, David Pereira, Andre Steimle
  • Patent number: 7657854
    Abstract: A method and system for designing a test circuit in a System on Chip (SOC) includes identifying the test design constraints of the test circuit. The SOC is partitioned logically into a first set of logic blocks and a second set of logic blocks. A first set of scan chains is inserted in the first set of logic blocks, and a second set of scan chains is inserted in the second set of logic blocks, based on the test design constraints. Bypass circuits are inserted in the paths of the second set of scan chains, which are capable of bypassing at least one logic block of the second set of logic blocks during testing of the SOC.
    Type: Grant
    Filed: October 3, 2007
    Date of Patent: February 2, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Himanshu Goel, Amit Sharma
  • Patent number: 7653855
    Abstract: A random number test circuit includes a counting unit to count number of repetitions of a certain-value bit in a random number sequence, the repetitions occurring in series, a detecting unit to detect a plurality of numbers corresponding to a kind of bits in the random number sequence, and a determining unit to determine whether the random number sequence is normal, based on the numbers.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: January 26, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shinichi Yasuda, Shinobu Fujita, Koichi Fujisaki, Tetsufumi Tanamoto, Keiko Abe
  • Patent number: 7650553
    Abstract: An interface test can be performed by, for example, only a self apparatus when interface operation specifications are different between the self apparatus and an original connection partner apparatus. An LSI has a plurality of interfaces (IFs) for transmission/reception of data with an external device, and the LSI includes an emulation control unit for allowing one of the two of the plurality of IFs to perform an operation of emulating an IF of a connection partner device having operation specifications different from those of the LSI, when two IFs are connected to each other via a transmission line.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: January 19, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Kazufumi Komura
  • Patent number: 7631237
    Abstract: Systems and methods for performing logic built-in-self-tests (LBISTs) where data comparisons are performed in the MISR. In one embodiment, a STUMPS-type LBIST architecture includes scan chains interposed between portions of the functional logic of the logic circuit. Test bit patterns are scanned into the scan chains, propagated through the functional logic, and captured in scan chains following the functional logic. The bits are scanned out of the scan chains into a self-compare MISR that creates a signature from the computed bit patterns and then compares the signature of the computed bit patterns with an expected signature, giving a pass/fail result. This single bit result reduces the bandwidth required to communicate the result(s) of the LBIST testing to the test equipment. As a result, a larger number of devices can be tested by a given piece of test equipment.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: December 8, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7627798
    Abstract: Systems and methods for performing logic built-in-self-tests (LBISTS) in digital circuits. In one embodiment, the operation of LBIST circuitry is suspended at the end of each test cycle so that the bit patterns generated by the functional logic of the device under test can be examined to determine if any errors occurred during the test cycle. Pseudorandom bit patterns are scanned into the scan chains interposed between portions of the functional logic circuit and then propagated through the functional logic. The resulting bit patterns are captured in scan chains following the functional logic and then scanned out of the scan chains. The bit patterns are processed and compared to corresponding data generated by a parallel LBIST system in a device that is known to operate properly. The LBIST test cycles are then halted if there are errors in the generated bit patterns or resumed if there are no errors.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: December 1, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Naoki Kiryu
  • Patent number: 7620873
    Abstract: An information sequence having a code length of N (N=K+M), where K is information length and M is parity length, is encoded into a code sequence by using an LDPC code. The LDPC code is generated based on a matrix H, with M rows and N columns. The matrix H includes a check matrix H2 and a check matrix H1 . The check matrix H2 has M rows and M columns, it is a cyclic permutation matrix, and an inverse matrix exists for the check matrix H2, and its column weight is 3. The check matrix H1 has M rows and K columns.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: November 17, 2009
    Assignee: Fujitsu Limited
    Inventors: Toshikazu Kanaoka, Toshihiko Morita
  • Patent number: 7610531
    Abstract: Mechanisms for modifying a test pattern to control power supply noise are provided. A portion of a sequence of states in a test sequence of a test pattern waveform is modified so as to achieve a circuit voltage, e.g., an on-chip voltage, which approximates a nominal circuit voltage, such as produced by the application of other portions of the sequence of states in the same or different test sequences. For example, hold state cycles or shift-scan state cycles may be inserted or removed prior to test state cycles in the test pattern waveform. The insertion/removal shifts the occurrence of the test state cycles within the test pattern waveform so as to adjust the voltage response of the test state cycles so that they more closely approximate a nominal voltage response. In this way, false failures due to noise in the voltage supply may be eliminated.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: October 27, 2009
    Assignee: International Business Machines Corporation
    Inventors: Sang H. Dhong, Brian Flachs, Gilles Gervais, Brad W. Michael, Mack W. Riley
  • Patent number: 7610527
    Abstract: Implementations of the present principles are directed to test output compaction arrangements and a methods of generating control patterns for unknown blocking. The specified bits in the control patterns, which when using linear feedback shift register (LFSR) reseeding determines control data volume and LFSR size, are preferably organized in a manner so as to balance the number of specified bits in the control patterns across test patterns.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: October 27, 2009
    Assignee: NEC Laboratories America, Inc.
    Inventors: Seongmoon Wang, Kedarnath J Balakrishnan, Srimat T Chakradhar
  • Patent number: 7607059
    Abstract: Systems and methods for improved fault coverage of logic built-in-self-tests (LBISTs) in integrated circuits (ICs) which ensure testing of specific logic by forcing specific values into scan latches that contain otherwise pseudorandom test bit patterns. In one embodiment, an LBIST system comprises a plurality of scan latches and forcing logic coupled to a first set of the scan latches which provide inputs to selected target logic. The forcing logic is configured to overwrite values stored in the first set of scan latches with desired values. In one embodiment, the forcing logic includes a bypass path that enables shifting of unaltered bit patterns around the first set of scan latches. Bits in the bypass path may be inverted when the bypass path is not being used in order to help detect errors in the operation of the bypass path.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: October 20, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeki Osanai
  • Publication number: 20090259902
    Abstract: Provided is a semiconductor device that can be reduced in size while variation in shape among circuit patterns is reduced. The semiconductor device includes multiple circuit patterns and first dummy patterns. The multiple circuit patterns are disposed at regular intervals, and are used as part of the circuit. The multiple circuit patterns consist of two outermost circuit patterns and the other inner circuit patterns. The first dummy patterns are disposed on outer sides of the two outermost circuit patterns, respectively. The distance between each of the outermost circuit patterns and the corresponding first dummy pattern is equal to a distance between any adjacent two of the circuit patterns. A width of each of the first dummy patterns is smaller than a width of any of the circuit patterns, and is equal to a minimum design rule width, for example.
    Type: Application
    Filed: March 20, 2009
    Publication date: October 15, 2009
    Applicant: NEC Electronics Corporation
    Inventor: Takashi Tahata
  • Patent number: 7596737
    Abstract: This invention discloses a system and method for testing a plurality of state retention circuits in an integrated circuit (IC) chip, that comprises a built-in test circuit configured to invoke a clock, a save and a restore signal, and a plurality of serially connected data latches receiving the clock, save and restore signals, wherein each data latch employs one of the plurality of state retention circuits, wherein the plurality of data latches save their existing data in their corresponding state retention circuits upon an assertion of the save signal, restore the data from the plurality of state retention circuits back to their corresponding data latches upon an assertion of the restore signal, and shifting the existing data along the series of the data latches one latch a cycle of the clock signal.
    Type: Grant
    Filed: November 10, 2006
    Date of Patent: September 29, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Hsing Wang, Lee-Chung Lu
  • Publication number: 20090228751
    Abstract: A method, structure and design system for performing logic built-in-self-test (LBIST) cycles on a semiconductor chip with a plurality of logic circuits and a plurality of storage elements connected serially to a number of LBIST stumps (pattern segments) between a pseudo-random-pattern generator (30) and a multiple-input-signature register. The semiconductor chip is subdivided into partitions, such that LBIST cycles may be run separately or in parallel for one or more partitions. The LBIST cycles may also be run separately or in parallel inter-connections between the partitions. The partitions to be tested are controlled by at least one corresponding clock signal, and the inter-connections to be tested are controlled by at least one corresponding clock signal.
    Type: Application
    Filed: May 22, 2008
    Publication date: September 10, 2009
    Inventors: Tilman Gloekler, Christoph Jaeschke, Thuyen Le, Martin Padeffke
  • Patent number: RE41187
    Abstract: A technique to reduce the test data volume and number of scan shift clocks per test pattern by combining the scan inputs with existing values in scan chains and inserting them at additional bit positions along the scan chains in order to reduce the number of shift clocks required to achieve required values at plurality of scan bit positions, and by using multiple taps from the scan chains to form a check-sum in order to reduce the number of scan shift clocks to capture test results.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: March 30, 2010
    Inventor: Laurence H. Cooke