Plural Scan Paths Patents (Class 714/729)
  • Patent number: 8332699
    Abstract: In an embodiment, a design methodology and tools to implement the methodology are used to perform scan insertion in an integrated circuit design. The physical location of the scan chains within the boundaries of the integrated circuit may be determined, and the methodology may use the physical information to perform the scan insertion. For example, the physical information may include the location of the inputs and outputs of the scan chains, as well as routability data indicating the ability to insert interconnect in the integrated circuit to make the desired scan connections. The location and routability information may be used to group scan chain inputs and outputs for, e.g., compression/decompression logic. Using physical data to insert scan compression/decompression logic may reduce the amount of area occupied by the scan logic and connectivity, in some embodiments.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: December 11, 2012
    Assignee: Apple Inc.
    Inventors: Amit Chandra, Muthukumaravelu Velayoudame, Mandeep Singh, Michael Mar
  • Patent number: 8332700
    Abstract: IEEE 1149.1 Test Access Ports (TAPs) may be utilized at both IC and intellectual property core design levels. TAPs serve as serial communication ports for accessing a variety of embedded circuitry within ICs and cores including; IEEE 1149.1 boundary scan circuitry, built in test circuitry, internal scan circuitry, IEEE 1149.4 mixed signal test circuitry, IEEE P5001 in-circuit emulation circuitry, and IEEE P1532 in-system programming circuitry. Selectable access to TAPs within ICs is desirable since in many instances being able to access only the desired TAP(s) leads to improvements in the way testing, emulation, and programming may be performed within an IC. A TAP linking module is described that allows TAPs embedded within an IC to be selectively accessed using 1149.1 instruction scan operations.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: December 11, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Lee D. Whetsel, Baher S. Haroun, Brian J. Lasher, Anjali Kinra
  • Patent number: 8324917
    Abstract: An integrated circuit (70) having parallel scan paths (824-842, 924-942) includes a pair or pairs of scan distributor (800,900) and scan collector (844,944) circuits. The scan paths apply stimulus test data to functional circuits (702) on the integrated circuit and receive response test data from the functional circuits. A scan distributor circuit (800) receives serial test data from a peripheral bond pad (802) and distributes it to each parallel scan path. A scan collector circuit (844) collects test data from the parallel scan paths and applies it to a peripheral bond pad (866). This enables more parallel scan paths of shorter length to connect to the functional circuits. The scan distributor and collector circuits can be respectively connected in series to provide parallel connections to more parallel scan paths. Additionally multiplexer circuits (886,890) can selectively connect pairs of scan distributor and collector circuits together.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: December 4, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8327205
    Abstract: A method is provided for testing an integrated circuit comprising multiple cores, with at least two cores having different associated first and second clock signals of different frequencies. A test signal is provided using a clocked scan chain clocked at a test frequency (TCK). A transition is provided in a clock circuit reset signal (clockdiv_rst) which triggers the operation of a clock divider circuit (44) which derives the first and second clock signals (clk_xx, clk_yy, clk_zz) from an internal clock (40) of the integrated circuit. The first and second clock signals thus start at substantially the same time, and these are used during a test mode to perform a test of the integrated circuit. After test, the test result is output using the clocked scan chain clocked at the test frequency (TCK).
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: December 4, 2012
    Assignee: NXP B.V.
    Inventors: Tom Waayers, Johan C. Meirlevede, David P. Price, Norbert Schomann, Ruediger Solbach, Hervé Fleury, Jozef R. Poels
  • Patent number: 8327202
    Abstract: A scan system comprises a scan engine adapted to receive a scan request from a host system for performing a scan test on a system-under-test. The scan engine comprises dedicated logic where a state of the dedicated logic is adapted to control processing of the scan request on the system-under-test.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: December 4, 2012
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Fred Hartnett
  • Publication number: 20120304031
    Abstract: This invention uses multiple codecs to efficiently achieve the right balance between compression and coverage for a given design. This application illustrates a simple example using two codecs including a high compression codec and a low compression codec. The test engineer generates a first set of test patterns using the high compression codec. If this high compression results in unacceptable fault coverage loss, the top-up patterns for additional coverage are generated using the low compression codec. The invention may use multiple codecs serially one after the other. The codecs can be of different types or parameters (such as compression ratio, debug tolerance and combinational codec versus sequential codec).
    Type: Application
    Filed: April 13, 2010
    Publication date: November 29, 2012
    Applicant: Texas Instruments Incorporated
    Inventors: Malav Shrikant Shah, Swathi Gangasani, Srivaths Ravi
  • Patent number: 8321729
    Abstract: Scan architectures are commonly used to test digital circuitry in integrated circuits. The present invention describes a method of adapting conventional scan architectures into a low power scan architecture. The low power scan architecture maintains the test time of conventional scan architectures, while requiring significantly less operational power than conventional scan architectures. The low power scan architecture is advantageous to IC/die manufacturers since it allows a larger number of circuits (such as DSP or CPU core circuits) embedded in an IC/die to be tested in parallel without consuming too much power within the IC/die. Since the low power scan architecture reduces test power consumption, it is possible to simultaneously test more die on a wafer than previously possible using conventional scan architectures. This allows wafer test times to be reduced which reduces the manufacturing cost of each die on the wafer.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: November 27, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Jayashree Saxena, Lee D. Whetsel
  • Publication number: 20120297262
    Abstract: A Scan-BIST architecture is adapted into a low power Scan-BIST architecture. A generator 102, compactor 106, and controller 110 remain the same as in the known art. The changes between the known art Scan-BIST architecture and the low power Scan-BIST architecture involve modification of the known scan path into scan path 502, to insert scan paths A 506, B 508 and C 510, and the insertion of an adaptor circuit 504 in the control path 114 between controller 110 and scan path 502.
    Type: Application
    Filed: August 2, 2012
    Publication date: November 22, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 8316266
    Abstract: Plural scan test paths (401) are provided to reduce power consumed during testing such as combinational logic (101). A state machine (408) operates according to plural shift states (500) to control each scan path in capturing data from response outputs of the combinational logic and then shifting one bit at a time to reduce the capacitive and constant state power consumed by shifting the scan paths.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: November 20, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8312332
    Abstract: A test apparatus includes a test input signal generator that generates a test input signal of word width N, and terminals that connect to inputs and outputs of an electrical circuit to be tested. The electrical circuit includes N digital test inputs and M digital test outputs. The terminals for the test inputs are connected to the test input signal and an electrical circuit is driven such that it outputs at its test outputs data with a macro clock cycle T of length L as test response. A compactor includes M inputs that are connected to the terminals for the test outputs of the circuit to be tested. The compactor compacts the test response with a micro clock cycle t of length l and outputs a data word of width m, where the length L is at least twice as large as the length l.
    Type: Grant
    Filed: March 1, 2006
    Date of Patent: November 13, 2012
    Assignee: Infineon Technologies AG
    Inventors: Andreas Leininger, Michael Goessel
  • Patent number: 8301414
    Abstract: Methods, apparatus, and systems for performing fault diagnosis are disclosed herein. In certain disclosed embodiments, methods for diagnosing faults from compressed test responses are provided. For example, in one exemplary embodiment, a circuit description of an at least partially scan-based circuit-under-test and a compactor for compacting test responses captured in the circuit-under-test is received. A transformation function performed by the compactor to the test responses captured in the circuit-under-test is determined. A diagnostic procedure for evaluating uncompressed test responses is modified into a modified diagnostic procedure that incorporates the transformation function therein. Computer-readable media comprising computer-executable instructions for causing a computer to perform any of the disclosed methods are also provided.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: October 30, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Wu-Tung Cheng, Kun-Han Tsai, Yu Huang, Nagesh Tamarapalli, Janusz Rajski
  • Patent number: 8301946
    Abstract: The disclosure describes a novel method and apparatuses for allowing a controller to select and access different types of access ports in a device. The selecting and accessing of the access ports is achieved using only the dedicated TDI, TMS, TCK, and TDO signal terminals of the device. The selecting and accessing of device access ports can be achieved when a single device is connected to the controller, when multiple devices are placed in a daisy-chain arrangement and connected to the controller, or when multiple devices are placed in a addressable parallel arrangement and connected to the controller. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: October 13, 2011
    Date of Patent: October 30, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8301947
    Abstract: A method and apparatus for dynamic scan chain grouping is disclosed. In one embodiment, an integrated circuit (IC) includes a number of scan partitions. Each scan partition includes a number of scan input ports and a number of corresponding scan output ports. Each scan input port and each scan output port includes a number of scan paths. Additionally, each scan partition includes a number of scan chains. Each scan partition is programmable to couple the scan paths of one of the scan input ports to each of the scan chains. Similarly, the corresponding output port may also be coupled to the scan chains. The scan paths of the remaining scan input ports may be selected to bypass the scan chains of the scan partition, having their respective scan input ports connected directly to their respective scan output ports. Each scan partition may be reconfigurable.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: October 30, 2012
    Assignee: Apple Inc.
    Inventors: Samy Makar, Anuja Banerjee
  • Patent number: 8301945
    Abstract: Disclosed below are representative embodiments of methods, apparatus, and systems used to generate test patterns for testing integrated circuits. Embodiments of the disclosed technology can be used to provide a low power test scheme and can be integrated with a variety of compression hardware architectures (e.g., an embedded deterministic test (“EDT”) environment). Certain embodiments of the disclosed technology can reduce the switching rates, and thus the power dissipation, in scan chains with no hardware modification. Other embodiments use specialized decompression hardware and compression techniques to achieve low power testing.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: October 30, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Grzegorz Mrugalski, Dariusz Czysz, Jerzy Tyszer
  • Publication number: 20120266036
    Abstract: A low-pin-count scan compression method and apparatus for reducing test data volume and test application time in a scan-based integrated circuit. The scan-based integrated circuit contains one or more scan chains, each scan chain comprising one or more scan cells coupled in series. The method and apparatus includes a programmable pipelined decompressor comprising one or more shift registers, a combinational logic network, and an optional scan connector. The programmable pipelined decompressor decompresses a compressed scan pattern on its compressed scan inputs and drives the generated decompressed scan pattern at the output of the programmable pipelined decompressor to the scan data inputs of the scan-based integrated circuit. Any input constraints imposed by said_combinational logic network are incorporated into an automatic test pattern generation (ATPG) program for generating the compressed scan pattern for one or more selected faults in one-step.
    Type: Application
    Filed: June 21, 2012
    Publication date: October 18, 2012
    Applicant: Syntest Technologies, Inc.
    Inventors: Nur A. TOUBA, Laung-Terng Wang, Zhigang Jiang, Shianling Wu, Jianping Yan
  • Patent number: 8286042
    Abstract: This invention generates the random seed patterns using simple, low-area overhead digital circuitry on-chip. This circuit is implemented as a finite state machine whose states are the seeds as contrasted to storing the seeds in the prior art. These seeds are used to control pseudo-random pattern generation for built-in self-tests. This invention provides a large reduction in chip area in comparison with storing seeds on-chip or off-chip.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: October 9, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Swathi Gangasani, Srinivasulu Alampally, Divya Divakaran, Rubin Ajit Parekhji, Amit Kumar Dutta, Srivaths Ravi
  • Patent number: 8283665
    Abstract: Testing of integrated circuits is achieved by a test architecture utilizing a scan frame input shift register, a scan frame output shift register, a test controller, and a test interface comprising a scan input, a scan clock, a test enable, and a scan output. Scan frames input to the scan frame input shift register contain a test stimulus data section and a test command section. Scan frames output from the scan frame output shift register contain a test response data section and, optionally, a section for outputting other data. The command section of the input scan frame controls the test architecture to execute a desired test operation.
    Type: Grant
    Filed: August 25, 2011
    Date of Patent: October 9, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8286041
    Abstract: A semiconductor integrated circuit includes a scan chain which includes: first flip-flops contained in a first circuit and second flip-flops contained in a second circuit, wherein the first flip-flops and the second flip-flops are connected in a series connection in a scan path test mode to operate as a shift register, and a first selecting circuit configured to selectively output a test data in the scan path test mode and internal state data indicating an internal state of the first flip-flops and read from a memory circuit in a restoring operation in a normal mode to the series connection.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: October 9, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Tatsuya Kawasaki, Tsuneki Sasaki, Shuichi Kunie
  • Publication number: 20120254681
    Abstract: A method comprises a system comprising a host device coupled to a first remote device actively operating according to a state diagram that the host device and all remote devices follow during operation of the system. The method further comprises powering up a second remote device while the host device and first remote device are actively operating according to the state diagram. The second remote device waits for a synchronization point sequence. Upon detecting the synchronization point sequence, the second remote device implements a predetermined feature set and synchronizes itself to the state diagram at a common point as the host device and first remote device.
    Type: Application
    Filed: June 6, 2012
    Publication date: October 4, 2012
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Gary L. Swoboda
  • Patent number: 8281196
    Abstract: The disclosure describes a novel method and apparatus for making device TAPs addressable to allow device TAPs to be accessed in a parallel arrangement without the need for having a unique TMS signal for each device TAP in the arrangement. According to the disclosure, device TAPs are addressed by inputting an address on the TDI input of devices on the falling edge of TCK. An address circuit within the device is associated with the device's TAP and responds to the address input to either enable or disable access of the device's TAP.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: October 2, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8281190
    Abstract: An interface processes memory redundancy data on an application specific integrated circuit (ASIC) with self-repairing random access memory (RAM) devices. The interface includes a state machine, a counter, and an array of registers. The state machine is coupled to a redundancy chain. The redundancy chain includes coupled redundant elements of respective memory elements on the ASIC. In a shift-in mode, the interface shifts data from each of the elements in the redundancy chain and compresses the data in the array of registers. The interface communicates with a test access port coupled to one or more eFuse devices to store and retrieve the compressed data. In a shift-out mode, the interface decompresses the data stored in the array of registers and shifts the decompressed data to each unit in the redundancy chain. The interface functions absent knowledge of the number, bit size and type of self-repairing RAM devices in the redundancy chain.
    Type: Grant
    Filed: August 2, 2009
    Date of Patent: October 2, 2012
    Assignee: Avago Technologies Enterprise IP (Singapore) Pte. Ltd.
    Inventors: Rosalee Gunderson, Dale Beucler, Louise A. Koss
  • Patent number: 8281278
    Abstract: A design supporting system of a semiconductor integrated circuit, includes: a scan chain designing section configured to generate a scan chain of scan cells; a specific cell determining section configured to determine as specific scan cells, ones of the scan cells of the scan chain based on the number of gates to be driven when a data held by each of the specific scan cells changes on scan-inputting a pattern data from a scan-in side of the scan chain; and a reordering section configured to reorder the specific scan cells at positions closest to the scan-in side of the scan chain. In the first pattern data, a don't-care bit has a same bit data as that of a care bit.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: October 2, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Takahisa Nakako
  • Patent number: 8280687
    Abstract: In embodiments of the disclosed technology, diagnosis of a circuit is performed using compactor signatures (a technique referred to herein as “signature-based diagnosis”). Signature-based diagnosis typically does not require a test step that bypasses the compactor. Compactor signatures can be read from a compactor on a per-pattern basis, and an expected signature can be loaded into a compactor while an actual signature is being read from the compactor. Error functions can be used to describe relationships between errors in scan cell values and per-pattern compactor signatures, and the functions can be used to help generate a list of fault candidates in a circuit design.
    Type: Grant
    Filed: October 20, 2006
    Date of Patent: October 2, 2012
    Assignee: Mentor Graphics Corporation
    Inventors: Wu-Tung Cheng, Manish Sharma, Thomas Hans Rinderknecht
  • Publication number: 20120246531
    Abstract: Scan chains are used to detect faults in integrated circuits but with the size of today's circuits, it is difficult to detect and locate scan chain faults, especially when the scan data in and scan data out have been compressed. A method for debugging scan chains includes selecting a scan chain for debugging using a scan chain selection block and then providing scan test vectors to the selected scan chain. The scan test vectors undergo various scan test stages to generate scan response vectors. The scan response vectors are compared with ideal response vectors to identify a failing scan chain.
    Type: Application
    Filed: March 25, 2011
    Publication date: September 27, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC
    Inventors: Sandeep Jain, Nikila Krishnamoorthy, Abhishek Chaudhary, Nipun Mahajan, Saurabh Chauhan
  • Patent number: 8276030
    Abstract: Scan distributor, collector, and controller circuitry connect to the functional inputs and outputs of core circuitry on integrated circuits to provide testing through those functional inputs and outputs. Multiplexer and demultiplexer circuits select between the scan circuitry and the functional inputs and outputs. The core circuitry can also be provided with built-in scan distributor, collector, and controller circuitry to avoid having to add it external of the core circuitry. With appropriately placed built-in scan distributor and collector circuits, connecting together the functional inputs and outputs of the core circuitry also connects together the scan distributor and collector circuitry in each core. This can provide a hierarchy of scan circuitry and reduce the need for separate test interconnects and multiplexers.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: September 25, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20120239995
    Abstract: Methods and apparatuses are described for decompressing and routing test data. Some embodiments feature an integrated circuit (IC) that includes two or more shift registers configured to shift in the test data. Each of the two or more shift registers can include two or more sequential elements configured such that a scan chain in the set of scan chains receives inputs from at most one sequential element in each of the two or more shift registers. At least one shift register in the two or more shift registers can be configured as a circular shift register. The IC can also include a logic network coupled between the two or more shift registers and the set of scan chains such that the set of scan chains receives the decompressed test data from the two or more shift registers via the logic network.
    Type: Application
    Filed: May 17, 2011
    Publication date: September 20, 2012
    Applicant: SYNOPSYS, INC.
    Inventor: Emil I. Gizdarski
  • Patent number: 8271840
    Abstract: The disclosure describes a novel method and apparatus for allowing response data output from the scan outputs of a circuit under test to be formatted and applied as stimulus data input to the scan inputs of the circuit under test. Also the disclosure described a novel method and apparatus for allowing the response data output from the scan outputs of a circuit under test to be formatted and used as expected data to compare against the response data output from the circuit under test. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: September 18, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8271839
    Abstract: A test architecture accesses IP core test wrappers within an IC using a Link Instruction Register (LIR). An IEEE P1500 standard is in development for providing test access to these individual cores via a test structure called a wrapper. The wrapper resides at the boundary of the core and provides a way to test the core and the interconnections between cores. The test architecture enables each of the plural wrappers in the IC, including wrappers in cores embedded within other cores, with separate enable signals.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: September 18, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20120233512
    Abstract: Aspects of the invention relate to techniques of using two-dimensional scan architecture for testing and diagnosis. A two-dimensional scan cell network may be constructed by coupling input for each scan cell to outputs for two or more other scan cells and/or primary inputs through a multiplexer. To test and diagnose the two-dimensional scan cell network, the two-dimensional scan cell network may be loaded with chain patterns and unloaded with corresponding chain test data along two or more sets of scan paths. Based on the chain test data, one or more defective scan cells or defective scan cell candidates may be determined.
    Type: Application
    Filed: March 9, 2012
    Publication date: September 13, 2012
    Inventors: Yu Huang, Wu-Tung Cheng, Ruifeng Guo, Manish Sharma, Liyang Lai
  • Patent number: 8261143
    Abstract: A system comprises a plurality of components, scan chain selection logic coupled to the components, and override selection logic coupled to the scan chain selection logic. The scan chain selection logic selects various of the components to be members of a scan chain under the direction of a host computer. The override selection logic detects a change in the scan chain and, as a result, blocks the entire scan chain from progressing.
    Type: Grant
    Filed: May 7, 2008
    Date of Patent: September 4, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Gary L. Swoboda, Robert A. McGowan
  • Patent number: 8261144
    Abstract: A Scan-BIST architecture is adapted into a low power Scan-BIST architecture. A generator 102, compactor 106, and controller 110 remain the same as in the known art. The changes between the known art Scan-BIST architecture and the low power Scan-BIST architecture involve modification of the known scan path into scan path 502, to insert scan paths A 506, B 508 and C 510, and the insertion of an adaptor circuit 504 in the control path 114 between controller 110 and scan path 502.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: September 4, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8255751
    Abstract: A device test architecture and interface is provided to enable efficient testing embedded cores within devices. The test architecture interfaces to standard IEEE 1500 core test wrappers and provides high test data bandwidth to the wrappers from an external tester. The test architecture includes compare circuits that allow for comparison of test response data to be performed within the device. The test architecture further includes a memory for storing the results of the test response comparisons. The test architecture includes a programmable test controller to allow for various test control operations by simply inputting an instruction to the programmable test controller from the external tester. The test architecture includes a selector circuit for selecting a core for testing. Additional features and embodiments of the device test architectures are also disclosed.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: August 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8255749
    Abstract: Topology discovery of a target system having a plurality of components coupled with a scan topology may be performed by driving a low logic value on the data input signal and a data output signal of the scan topology. An input data value and an output data value for each of the plurality of components is sampled and recorded. A low logic value is then scanned through the scan path and recorded at each component. The scan topology may be determined based on the recorded data values and the recorded scan values.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: August 28, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Gary L. Swoboda
  • Publication number: 20120210184
    Abstract: Aspects of the invention relate to techniques for diagnosing compound hold-time faults. A profiling-based scan chain diagnosis may be performed on a faulty scan chain to determine observed scan cell failing probability information and one or more faulty segments based on scan pattern test information. Calculated scan cell failing probability information may then be derived. Based on the calculated scan cell failing probability information and the observed scan cell failing probability information, one or more validated faulty segments are verified to have one or more compound hold-time faults. Finally, one or more clock defect suspects may be identified based on information of the one or more validated faulty segments.
    Type: Application
    Filed: February 15, 2012
    Publication date: August 16, 2012
    Inventors: Yu Huang, Wu-Tung Cheng, Ting-Pu Tai, Liyang Lai, Ruifeng Guo
  • Patent number: 8239716
    Abstract: An integrated circuit is described that includes a stored program processor for test and debug of user-definable logic plus external interface between the test/debug circuits and the component pins. The external interface may be via an existing test interface or a separate serial or parallel port. Test and debug circuits may contain scan strings that may be used to observe states in user-definable logic or be used to provide pseudo-random bit sequences to user-definable logic. Test and debug circuits may also contain an on-chip logic analyzer for capturing sequences of logic states in user-definable circuits. Test and debug circuits may be designed to observe states in user-definable circuits during the normal system operation of said user-definable circuits.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: August 7, 2012
    Assignee: Intellectual Ventures I LLC
    Inventors: Bulent I. Dervisoglu, Laurence H. Cooke, Vacit Arat
  • Patent number: 8230282
    Abstract: A low-pin-count scan compression method and apparatus for reducing test data volume and test application time in a scan-based integrated circuit. The scan-based integrated circuit contains one or more scan chains, each scan chain comprising one or more scan cells coupled in series. The method and apparatus includes a programmable pipelined decompressor comprising one or more shift registers, a combinational logic network, and an optional scan connector. The programmable pipelined decompressor decompresses a compressed scan pattern on its compressed scan inputs and drives the generated decompressed scan pattern at the output of the programmable pipelined decompressor to the scan data inputs of the scan-based integrated circuit. Any input constraints imposed by said combinational logic network are incorporated into an automatic test pattern generation (ATPG) program for generating the compressed scan pattern for one or more selected faults in one-step.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: July 24, 2012
    Assignee: Syntest Technologies, Inc.
    Inventors: Nur A. Touba, Laung-Terng Wang, Zhigang Jiang, Shianling Wu, Jianping Yan
  • Patent number: 8225155
    Abstract: An electronic device comprises a processing stage, a JTAG port including a test data input pin (TDI), a test data output pin (TDO), a test mode select pin (TMS), a test clock pin (TCK), and a test access port (TAP) controller having a data register (DR) shift state and an instruction register shift (IR) state. The electronic device operates in a scan event mode automatically mapped an incoming event to the TDO pin.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: July 17, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Horst Diewald, Volker Rzehak, Johann Zipperer
  • Patent number: 8225157
    Abstract: The disclosure describes a process and apparatus for accessing devices on a substrate. The substrate may include only full pin JTAG devices (504), only reduced pin JTAG devices (506), or a mixture of both full pin and reduced pin JTAG devices. The access is accomplished using a single interface (502) between the substrate (408) and a JTAG controller (404). The access interface may be a wired interface or a wireless interface and may be used for JTAG based device testing, debugging, programming, or other type of JTAG based operation.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: July 17, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8225158
    Abstract: The disclosure describes a novel method and apparatus for providing expected data, mask data, and control signals to scan test architectures within a device using the falling edge of a test/scan clock. The signals are provided on device leads that are also used to provide signals to scan test architectures using the rising edge of the test/scan clock. According to the disclosure, device test leads serve to input different test signals on the rising and falling edge of the test/scan clock which reduces the number of interconnects between a tester and the device under test.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: July 17, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8225154
    Abstract: In an example embodiment there is described herein an apparatus, comprising a device having an input and an output, a controllable selecting device having a first input coupled to input of the device and a second input coupled to the output of the device, and a selection control circuit having a test input for receiving a test signal and a power mode input for receiving a power mode signal. The selection control circuit is coupled to the controllable selecting device and operable to control which input the controllable selecting device selects. The selection control circuit is configured to select the first input to isolate the device responsive to the test signal indicating no testing is being performed the power mode signal indicating a low power mode.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: July 17, 2012
    Assignee: Toshiba America Electronic Components, Inc.
    Inventors: Rodney M. Sanavage, Sandra Soohoo-Loeffel
  • Patent number: 8214704
    Abstract: A system including a first clock, a first scan chain, and a first sampling circuit. The first clock is configured to generate a first clock signal. The first scan chain includes a first input, a first set of devices, and a first output. The first input is configured to receive a portion of first data to test the first scan chain. The first set of devices has a first plurality of states, wherein each of the first set of devices changes between the first plurality of states in response to the portion of the first data. The first output is configured to output a portion of second data in response to the first plurality of states. The first sampling circuit is configured to sample the portion of the second data from the first output at least twice per clock cycle of the first clock signal.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: July 3, 2012
    Assignee: Marvell International Ltd.
    Inventor: Darren Bertanzetti
  • Patent number: 8214703
    Abstract: Methods and apparatuses are disclosed for testing multicore processors. In some embodiments, the tested multicore processor may include at least a first core and a second core, a data input coupled to a first scan chain in the first core and a second scan chain in the second core, and a multiplexer including at least a first input and a second input, the first input coupled with a data output of the first scan chain and the second input coupled with a data output of the second scan chain, the multiplexer further including an output that couples to one or more pins on a package of the processor, the multiplexer further including a select signal that couples to the one or more pins on the package of the processor, and wherein the data input couples to the one or more pins on the package of the processor.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: July 3, 2012
    Assignee: Oracle America, Inc.
    Inventors: Murali Mohan Reddy Gala, Olivier Francis Cyrille Caty, Thomas Alan Ziaja, Paul Dickinson
  • Publication number: 20120166902
    Abstract: An integrated circuit 2 provided with multiple functional units 6, 8, 10, 12, 14, and 16 for performing data processing operations as part of advancing execution of a data processing task by the integrated circuit 2. Activity detection circuitry 26 determines which of these functional circuits is inactive at the given time. If a functional is inactive, then scan control circuitry 28 may perform a scan test operation thereon using an associated serial scan chain 34, 36, 38, 40, 42, 44.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 28, 2012
    Applicant: ARM LIMITED
    Inventors: Teresa Louise McLaurin, Gerard Richard Williams
  • Patent number: 8205125
    Abstract: A test controller implemented in an integrated circuit (IC) with partitioned scan chains provides enhanced control in performing scan tests. According to an aspect, a test controller can selectively control scan-in, scan-out and capture phases of scan tests for different scan chains of the IC to be independent. The number of pins required to interface the test controller with an external tester is less than the number of partitions that the test controller can support. According to another aspect, an IC includes a register corresponding to each partition to support transition fault (or LOS) testing. According to another aspect, an IC with partitioned scan chains includes serial to parallel and parallel to serial converters, thereby minimizing the external pins required to support scan tests.
    Type: Grant
    Filed: October 23, 2009
    Date of Patent: June 19, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Alan David Hales, Srujan Kumar Nakidi, Rubin Ajit Parekhji, Srivaths Ravi, Rajesh Kumar Tiwari
  • Publication number: 20120151288
    Abstract: Mechanisms are provided for creating shift register definition from high-level model using high-level model simulation. The mechanisms initialize all potential scan chain latches, identify the latches in a given scan chain, and separate the scan chain latches into chunks. For each chunk, the mechanisms identify the latches within the chunk that change at each shift. The mechanisms isolate the scan path latch when divergence occurs.
    Type: Application
    Filed: December 8, 2010
    Publication date: June 14, 2012
    Applicant: International Business Machines Corporation
    Inventors: William B. Maloney, Timothy M. Skergan
  • Patent number: 8190954
    Abstract: A scan test architecture facilitates low power testing of semiconductor circuits by selectively dividing the serial scan paths into shorter sections. Multiplexers between the sections control connecting the sections into longer or shorted paths. Select and enable signals control the operation of the scan path sections. The output of each scan path passes through a multiplexer to compare circuits on the semiconductor substrate. The compare circuits also receive expected data and mask data. The compare circuits provide a fail flag output from the semiconductor substrate.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: May 29, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8185789
    Abstract: Scan and Scan-BIST architectures are commonly used to test digital circuitry in integrated circuits. The present disclosure improves upon low power Scan and Scan-BIST methods. The improvement allows the low power Scan and Scan-BIST architectures to achieve a delay test capability equally as effective as the delay test capabilities used in conventional scan and Scan-BIST architectures.
    Type: Grant
    Filed: August 4, 2011
    Date of Patent: May 22, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Lee D. Whetsel, Joel J. Graber
  • Patent number: 8181073
    Abstract: A SRAM (Static Random Access Memory) macro test flop circuit includes a flip-flop circuit, a scan control circuit, and an output buffer circuit. The flip-flop circuit includes a master latch circuit and a slave latch circuit. The master latch circuit includes a master feed-back circuit including a master storage node and a master feed-forward circuit. The slave latch circuit includes a slave feed-back circuit including a slave storage node and a slave feed-forward circuit driven from the master latch. The scan control circuit includes a scan slave feed-forward circuit, a scan latch circuit, and a scan driver circuit driven by the scan feed-back circuit. The scan latch circuit includes a scan feed-back circuit comprising a scan storage node and a scan feed-forward circuit driven from the slave latch. The output buffer circuit includes a master driver driven from master latch circuit and a slave driver driven from slave latch circuit.
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: May 15, 2012
    Assignee: Oracle America, Inc.
    Inventors: Ali Vahidsafa, Robert P. Masleid, Jason M. Hart, Zhirong Feng
  • Patent number: 8176374
    Abstract: The present disclosure describes novel methods and apparatuses for directly accessing JTAG Tap domains that exist in a scan path of many serially connected JTAG Tap domains. Direct scan access to a selected Tap domain by a JTAG controller is achieved using auxiliary digital or analog terminals associated with the Tap domain and connected to the JTAG controller. During direct scan access, the auxiliary digital or analog terminals serve as serial data input and serial data output paths between the selected Tap domain and the JTAG controller.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: May 8, 2012
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Publication number: 20120110402
    Abstract: A method and apparatus for testing a scan-based 3D integrated circuit (3DIC) using time-division demultiplexing/multiplexing allowing for high-data-rate scan patterns applied at input/output pads converting into low-data-rate scan patterns applied to each embeddded module in the 3DIC. A set of 3D design guidelines is proposed to reduce the number of test times and the number of through-silicon vias (TSVs) required for both pre-bond testing and post-bond testing. The technique allows reuse of scan patterns developed for pre-bond testing of each die (layer) for post-bond testing of the whole 3DIC. It further reduces test application time without concerns for I/O pad count limit and risks for fault coverage loss.
    Type: Application
    Filed: August 31, 2011
    Publication date: May 3, 2012
    Applicant: Syntest Technologies, Inc.
    Inventors: Laung-Terng Wang, Nur Touba, Michael S. Hsiao, Shianling Wu, Zhigang Jiang