Structural (in-circuit Test) Patents (Class 714/734)
  • Patent number: 11899828
    Abstract: Methods and apparatus for protecting a physical unclonable function (PUF) generator are disclosed. In one example, a PUF generator is disclosed. The PUF generator includes a PUF cell array, a PUF control circuit and a reset circuit. The PUF cell array comprises a plurality of bit cells. Each of the plurality of bit cells is configurable into at least two different stable states. The PUF control circuit is coupled to the PUF cell array and is configured to access each of the plurality of bit cells to determine one of the at least two different stable states upon a power-up of the plurality of bit cells, and generate a PUF signature based on the determined stable states of the plurality of bit cells. The reset circuit is coupled to the PUF cell array and is configured to set the plurality of bit cells to represent their initialization data based on an indication of a voltage tempering event of a supply voltage of the PUF cell array.
    Type: Grant
    Filed: July 7, 2022
    Date of Patent: February 13, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shih-Lien Linus Lu
  • Patent number: 11704264
    Abstract: A data transmission system and an operation method thereof are provided. The data transmission system includes a host, a first device and a second device. The host is configured to set a voltage base of a transmission signal, and configured to pull down or up the transmission signal based on the voltage base of the transmission signal to form a plurality of glitches. The first device is connected to the host to receive the transmission signal. The first device obtains a digital content of the transmission signal according to the glitches, if the voltage base of the transmission signal is set as a first base. The second device is connected to the host to receive the transmission signal. The second device obtains the digital content of the transmission signal according to the glitches, if the voltage base of the transmission signal is set as a second base.
    Type: Grant
    Filed: May 5, 2021
    Date of Patent: July 18, 2023
    Assignee: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Yao-Jen Chang
  • Patent number: 11662383
    Abstract: An integrated circuit (IC) device and a method for communicating test data utilizes test control circuitry, and a test controller. The test controller is coupled with the test control circuitry and decodes packetized test pattern data to identify configuration data for the test controller and test data for the test control circuitry. The test controller further communicates the test data to the test control circuitry, and packetizes resulting data received from the test control circuitry. The resulting data corresponds to errors identified by a test performed based on the test pattern data.
    Type: Grant
    Filed: October 14, 2021
    Date of Patent: May 30, 2023
    Assignee: Synopsys, Inc.
    Inventors: Anubhav Sinha, Brian Archer, Abhijeet Samudra, Kranthi Kandula, Amit Kapatkar, Akshay Kumar Gupta, Hemasagar Babu Reddy, Ajay Nagarandal
  • Patent number: 11656964
    Abstract: A processor includes a central processing unit (CPU) and diagnostic monitoring circuitry. The diagnostic monitoring circuitry is coupled to the CPU. The diagnostic monitoring circuitry includes a monitoring and cyclic redundancy check (CRC) computation unit. The monitoring and CRC computation unit is configured to detect execution of a diagnostic program by the CPU, and to compute a plurality of CRC values. Each of CRC values corresponds to processor values retrieved from a given register of the CPU or from a bus coupling the CPU to a memory and peripheral subsystem while the CPU executes the diagnostic program.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: May 23, 2023
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Venkatesh Natarajan, Karthikeyan Rajamanickam
  • Patent number: 11650244
    Abstract: A test circuit includes one or more sensors adapted to be formed on a wafer, each sensor detecting one or more wafer characterization data in a stressed condition; a stress generator controlling the one or more sensors to place the one or more sensors under stress during wafer manufacturing; memory coupled to the one or more sensors to store wafer characteristics under the stressed condition; and an interface coupled to the memory to communicate the wafer characterization data to a tester.
    Type: Grant
    Filed: June 5, 2021
    Date of Patent: May 16, 2023
    Inventor: Alan Paul Aronoff
  • Patent number: 11640843
    Abstract: According to an embodiment of the present disclosure, a semiconductor memory device includes a mode register circuit including a plurality of write mode register sets for providing a plurality of setting codes or a plurality of monitoring codes; and a defect detection circuit suitable for outputting a defect determination signal by detecting any defect in the mode register circuit, based on the plurality of monitoring codes, wherein each of the write mode register sets includes: a storing circuit suitable for storing an operational code according to a mode register write command; and an output control circuit suitable for outputting the stored operational code in the storing circuit as a corresponding setting code, or inverting the stored operational code in the storing circuit to output a corresponding monitoring code, according to a test mode signal.
    Type: Grant
    Filed: April 1, 2021
    Date of Patent: May 2, 2023
    Assignee: SK hynix Inc.
    Inventor: Noh Hyup Kwak
  • Patent number: 11486912
    Abstract: A glitch detector includes a sensing circuit, a glitch-to-pulse generator and a comparing circuit. The sensing circuit generates a glitch voltage and at least one reference voltage based on a first power supply voltage. The glitch-to-pulse generator receives the first power supply voltage or the glitch voltage, and generates at least one pulse voltage including a pulse when the glitch occurs on the first power supply voltage. The comparing circuit generates at least one detection voltage by comparing the glitch voltage with the at least one reference voltage based on the pulse included in the at least one pulse voltage. The at least one detection voltage is activated when the glitch occurs on the first power supply voltage.
    Type: Grant
    Filed: May 7, 2021
    Date of Patent: November 1, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Donghun Heo, Sangjin Lim, Cheolhwan Lim
  • Patent number: 11461222
    Abstract: An example includes the following operations: identifying parameters associated with a test program, where the parameters are based on at least one of a device under test (DUT) to be tested by the test program or a type of test to be performed on the DUT by the test program; assigning weights to the parameters; generating a numerical value for the test program based on the parameters, the weights, and equations that are based on the parameters and the weights, where the numerical value is indicative of a complexity of the test program; and using the numerical value to obtain information about effort needed to develop future test programs.
    Type: Grant
    Filed: April 16, 2020
    Date of Patent: October 4, 2022
    Assignee: TERADYNE, INC.
    Inventor: Randall Kramer
  • Patent number: 11320477
    Abstract: A method for electrical testing of an electrical circuit for defects, all electrical or electronic parts are measured simultaneously, so an electrical image of the electrical circuit is received by a control/evaluation unit, in which an electrical excitation signal of an electrical current or an electrical voltage is applied simultaneously by the control/evaluation unit and a plurality of driver circuits at a plurality of test points of the electrical circuit, which test points may be arranged in any way. The electrical excitation signals applied via the driver circuits differ with regard to their spectral characteristic. The electrical current flowing in the particular test point and the resultant electrical voltage are recorded synchronously with regard to a waveform in relation to an electrical ground potential, and subsequently parameters of the parts and their electrical connections are calculated by the control/evaluation unit.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: May 3, 2022
    Assignee: ATEIP GMBH
    Inventor: Ulrich Pohl
  • Patent number: 11307251
    Abstract: A circuit, including a TAP circuit, a routing circuit, a first test path and a second test path, is provided. A first input terminal and a first output terminal of the routing circuit are respectively coupled to a scan output terminal and a first scan input terminal of the TAP circuit. A first terminal of the first test path is coupled to a second input terminal of the routing circuit. A second terminal of the first test path is coupled to a second output terminal of the routing circuit. A first terminal of the second test path is coupled to a third input terminal of the routing circuit. A second terminal of the second test path is coupled to a third output terminal of the routing circuit. The routing circuit couples the scan output terminal of the TAP circuit to the first scan input terminal of the TAP circuit or the first terminal of the first test path or the first terminal of the second test path.
    Type: Grant
    Filed: October 30, 2020
    Date of Patent: April 19, 2022
    Assignee: Shanghai Zhaoxin Semiconductor Co., Ltd.
    Inventor: Yunhao Xing
  • Patent number: 10942674
    Abstract: A semiconductor device and a semiconductor system including the same are disclosed. The semiconductor system includes a first semiconductor device having a memory region, the first semiconductor device configured to output reliability information of the memory region to an external part, and a second semiconductor device configured to control the first semiconductor device based on the reliability information.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: March 9, 2021
    Assignee: SK hynix Inc.
    Inventor: Hyeng Ouk Lee
  • Patent number: 10848516
    Abstract: Disclosed herein are methods, systems, and processes for utilizing computing entity resolution for network asset correlation. A generated canonical dataset that includes the identities of existing computing devices is accessed and a scanned dataset generated by a security server that includes an identity of a scanned computing device is received. Paired records that include the identities of the existing computing devices and the identity of the scanned computing device are generated from the canonical dataset and the scanned dataset and user input applicable to the paired records that indicates whether the identity of the scanned computing device matches an identity of an existing computing device is received. A network asset correlator that indicates a disparate correlation between each of the existing computing devices and a newly-scanned computing device that is part of a newly-scanned dataset generated by the security server without requiring a subsequent user input is generated.
    Type: Grant
    Filed: October 2, 2018
    Date of Patent: November 24, 2020
    Assignee: Rapid7, Inc.
    Inventor: Wah-Kwan Lin
  • Patent number: 10838005
    Abstract: A differential voltage measuring device includes a first capacitor and a second capacitor each formed of a ceramic capacitor, a differential amplifier for outputting a voltage corresponding to a difference voltage between a voltage held by the first capacitor and a voltage held by the second capacitor, and ?COM for introducing a first voltage to the first capacitor, and a second voltage to the second capacitor with the first capacitor holding the first voltage, and ?COM introduces a third voltage to at least the first capacitor or the second capacitor, and after application of the third voltage stops, introduces the first voltage to the first capacitor or the second capacitor to which the third voltage was introduced.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: November 17, 2020
    Assignee: YAZAKI CORPORATION
    Inventors: Jian Wang, Hironao Fujii
  • Patent number: 10824544
    Abstract: A system in an enterprise environment. A testing data service (TDS) is in communication with the storage device. The TDS receives and transmits a request for a data structure with a data combination arranged in a format specified in the request. The TDS also receives a generated data structure having the type of data structure and a generated data combination of the type of data. The system also includes an orchestration layer, in communication with the TDS. The orchestration layer includes a query engine that receives the request from the TDS. The orchestration layer also includes a data structure generator that builds the generated data structure to have the type of data structure and to populate the generated data structure with the data combination of the type of data received from the query engine. The orchestration layer is further configured to transmit the generated data structure to the TDS.
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: November 3, 2020
    Assignee: Intuit Inc.
    Inventors: Sumit Nagal, Akhilesh Jonnavittula, Bhagyashri Mahule, Vijay Thomas, Garry Bullock, Connor Mcauliffe
  • Patent number: 10733327
    Abstract: Methods and apparatus for protecting a physical unclonable function (PUF) generator are disclosed. In one example, a PUF generator is disclosed. The PUF generator includes a PUF cell array, a PUF control circuit and a reset circuit. The PUF cell array comprises a plurality of bit cells. Each of the plurality of bit cells is configurable into at least two different stable states. The PUF control circuit is coupled to the PUF cell array and is configured to access each of the plurality of bit cells to determine one of the at least two different stable states upon a power-up of the plurality of bit cells, and generate a PUF signature based on the determined stable states of the plurality of bit cells. The reset circuit is coupled to the PUF cell array and is configured to set the plurality of bit cells to represent their initialization data based on an indication of a voltage tempering event of a supply voltage of the PUF cell array.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: August 4, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shih-Lien Linus Lu
  • Patent number: 10572403
    Abstract: A complex programmable logic device with capability of performing multi-address response includes a I2C slave circuit, a first multiplexer, a plurality of second multiplexers and a plurality of register circuits. The I2C slave circuit outputs an address message and an input data by analyzing a data flow. The address message is responsive to one of a plurality of predetermined addresses, and the I2C slave circuit outputs the predetermined address to which the address message is responsive as an address command as well as the input data. The first multiplexer outputs the input data to a respective one of the plurality of second multiplexers according to the address command. The second multiplexer received the input data accesses to a register unit included in a respective one of the register circuits according to the input data.
    Type: Grant
    Filed: September 10, 2019
    Date of Patent: February 25, 2020
    Assignees: INVENTEC (PUDONG) TECHNOLOGY CORPORATION, INVENTEC CORPORATION
    Inventor: Peng Zhan
  • Patent number: 10460644
    Abstract: The present disclosure relates to a driving system of display panels. The driving system includes a main board and a display panel. The main board is configured with a driving chip, and the driving chip electrically connects to the display panel via a flexible circuit board to drive the display panel to display. With such configuration, the driving system is configured with the main board and the driving chip, and the driving chip is arranged on the main board, instead of the flexible circuit board. With such configuration, the space of the display area occupied by the components is reduced so as to realize the narrow border design for a top border and a bottom border of the display panel. In this way, the screen ratio of the display panel is increased.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: October 29, 2019
    Assignee: Wuhan China Star Optoelectronics Technology Co., Ltd
    Inventors: Xingling Guo, Xiaoping Tan, Man Li
  • Patent number: 10365708
    Abstract: Methods and apparatuses related to guardband recovery using in situ characterization are disclosed. In one example, a system includes a target circuit, a voltage regulator to provide a variable voltage to, a phase-locked loop (PLL) to provide a variable clock to, and a temperature sensor to sense a temperature of the target circuit, and a control circuit, wherein the control circuit is to set up a characterization environment by setting a temperature, voltage, clock frequency, and workload of the target circuit, execute a plurality of tests on the target circuit, when the target circuit passes the plurality of tests, adjust the variable voltage to increase a likelihood of the target circuit failing the plurality of tests and repeat the plurality of tests, and when the target circuit fails the plurality of tests, adjust the variable voltage to decrease a likelihood of the target circuit failing the plurality of tests.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: July 30, 2019
    Assignee: Intel Corporation
    Inventors: Simon N. Peffers, Sean M. Gulley, Thomas L. Dmukauskas, Aaron Gorius, Vinodh Gopal
  • Patent number: 10288682
    Abstract: An electronic device having a functional portion and a test portion. The test portion includes a boundary scan register formed by a plurality of test cells arranged in the body according to a register sequence, where first test cells are configured to form a serial-to-parallel converter and second test cells are configured to form a parallel-to-serial converter. The test cells are each coupled to a respective data access pin of the device and to a respective input/output point of the functional part and have a first test input and a test output. The boundary scan register defines two test half-paths formed, respectively, by the first test cells and by the second test cells. The first test cells are directly coupled according to a first sub-sequence, and the second test cells are directly coupled according to a second sub-sequence.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: May 14, 2019
    Assignee: STMicroelectronics S.r.l.
    Inventor: Alberto Pagani
  • Patent number: 10219248
    Abstract: A method for communicating test results from a wireless device under test (DUT) using non-link testing resources. Test data resulting from testing one or more operations of the DUT are combined with other data to form one or more data packets for transmission to a tester. The test data occupies, e.g., via encoding, a portion of the one or more data packets designated for data identifying the DUT or a tester.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: February 26, 2019
    Assignee: LightPoint Corporation
    Inventors: Ruizu Wang, Christian Volf Olgaard, Qinghui Luo
  • Patent number: 10164480
    Abstract: An integrated circuit (IC) includes a first circuit layer that includes a first wireless power transfer (WPT) device, a first chip electrically connected to the first circuit layer, and a first tracking circuit disposed in the first chip. The first WPT device may be configured to extract energy from an electromagnetic signal and provide an output voltage. The first tracking circuit may be powered by the output voltage of the first WPT device and may output tracking data in response to an instruction extracted from the electromagnetic signal.
    Type: Grant
    Filed: May 8, 2017
    Date of Patent: December 25, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Min-Jer Wang, Ching-Nen Peng, Chewn-Pu Jou, Feng Wei Kuo, Hao Chen, Hung-Chih Lin, Huan-Neng Chen, Kuang-Kai Yen, Ming-Chieh Liu, Tsung-Hsiung Lee
  • Patent number: 10027665
    Abstract: A method for querying a knowledgebase of malicious hosts numbered from 1 through N. The method includes providing a network of computers, which has a plurality of unknown malicious host machines. In a specific embodiment, the malicious host machines are disposed throughout the network of computers, which includes a worldwide network of computers, e.g., Internet. The method includes querying a knowledge base including a plurality of known malicious hosts, which are numbered from 1 through N, where N is an integer greater than 1. In a preferred embodiment, the knowledge base is coupled to the network of computers. The method includes receiving first information associated with an unknown host from the network; identifying an unknown host and querying the knowledge base to determine if the unknown host is one of the known malicious hosts in the knowledge base. The method also includes outputting second information associated with the unknown host based upon the querying process.
    Type: Grant
    Filed: September 12, 2016
    Date of Patent: July 17, 2018
    Assignee: ThreatMetrix PTY LTD.
    Inventors: Scott Thomas, David G. Jones
  • Patent number: 9983262
    Abstract: A device includes one or more random number generator (RNG) cores (e.g., true random number generator cores) and a built-in self-test controller (BIST) configured to perform various fault tests on each RNG core. The tests include a stuck-at-1 fault test, a stuck-at-0 fault test, and a transition delay fault test. For those RNG cores that have multiple ring oscillators, each individual ring oscillator is fault tested by the BIST controller. For those RNG cores that have a multi-tap inverter chain configuration, the individual taps may be tested by the BIST controller. The RNG core also may comprise a bi-stable cell which can be tested by the BIST controller as well.
    Type: Grant
    Filed: June 30, 2016
    Date of Patent: May 29, 2018
    Assignee: Amazon Technologies, Inc.
    Inventors: Dan Trock, Ron Diamant
  • Patent number: 9600384
    Abstract: Disclosed herein are method, system and computer program product embodiments for improving the verification process of a system on chip (SoC). An embodiment operates by employing an active interconnect (AIC) between a processing subsystem (e.g., a central processing unit or CPU) and a plurality of peripherals, wherein the processing subsystem is linked to a plurality of applications via a plurality of drivers, and implementing a common set of software codes by at least one of the applications for a software development process and a hardware verification process. The AIC includes a plurality of communication protocols. During the software development process, the AIC configures at least one of the communication protocols to not enforce a timing limitation on one or more transactions between the processing subsystem and at least one of the peripherals, and a high-level programming language model is used for the peripherals.
    Type: Grant
    Filed: October 14, 2014
    Date of Patent: March 21, 2017
    Assignee: Cypress Semiconductor Corporation
    Inventors: Qamrul Hasan, William Chu, Lijun Pan, Hongjun Xue
  • Patent number: 9293224
    Abstract: Briefly, in accordance with one or more embodiments, an apparatus to test a semiconductor device comprises a controller configured to perform one or more tests on the semiconductor device, a reduce low pin count (RLPC) circuit configured to write data to the semiconductor device or read data from the semiconductor device at a double data rate (DDR) with respect to a single data rate (SDR), and pad logic to couple to the semiconductor device, the pad logic configured to provide a trimmable data access time from clock (tAC) signal to select different access times of a single data rate (SDR) or a double data rate (DDR) mode of operation, wherein a loading time or an unloading time of the semiconductor device being tested, or a combination thereof, is reduced when a DDR mode is selected.
    Type: Grant
    Filed: December 15, 2014
    Date of Patent: March 22, 2016
    Assignee: Intel Corporation
    Inventors: Dzung Nguyen, Luyen T. Vu
  • Patent number: 9237165
    Abstract: Technologies are directed to prevention of malicious attacks through cartography of co-processors at a datacenter. According to some examples, configuration data to create a co-processor at a field programmable gate array (FPGA) may be received at a configuration controller. The configuration controller may determine unused arrangements for the co-processor and unused placements at the FPGA corresponding to the unused arrangements. The used arrangements and the unused placements, associated with a type of the co-processor, may be stored in a configuration matrix. One of the unused arrangements and one of the unused placements corresponding to the selected unused arrangement may then be selected by the configuration controller to create the co-processor.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: January 12, 2016
    Assignee: EMPIRE TECHNOLOGY DEVELOPMENT LLC
    Inventors: Kevin S. Fine, Ezekiel Kruglick
  • Patent number: 9208320
    Abstract: A software distribution system comprises a computer; a first distribution device; and a second distribution device, wherein the computer includes a first software reception unit configured to receive the software; a second software reception unit configured to receive the test program corresponding to the software; and a software execution unit configured to merge the software described in an executable format and the test program, and execute, the second software reception unit attempts to acquire a test program corresponding to the software at a timing at which the first software reception unit has received the software, and makes repeated attempts at a predetermined interval when the test program cannot be acquired, and the software execution unit merges the software and the test program at a timing at which the second software reception unit has received the test program.
    Type: Grant
    Filed: May 10, 2013
    Date of Patent: December 8, 2015
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Masaru Suzuki, Masaharu Akei
  • Patent number: 9195767
    Abstract: A method and apparatus for third party control of a device have been disclosed. By utilizing a third party to control a device, view and control of a device may be separated.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: November 24, 2015
    Assignee: Digi International Inc.
    Inventor: Michel C. Burger
  • Patent number: 9134363
    Abstract: A device that supports an operator in checking an operation of an electronic circuit mounted on a board includes the following units. A waveform obtainment unit obtains a measured waveform as a signal waveform of a voltage or current measured by the operator bringing a probe into contact with the board. A similarity calculation unit calculates a similarity between the measured waveform and each of simulated signal waveforms which are signal waveforms of a voltage or current at respective nodes on the electronic circuit and are obtained by simulating the operation of the electronic circuit. A position determination unit determines, based on node information indicating positions of the nodes, a node position on the electronic circuit which corresponds to a simulated signal waveform having a maximum similarity. A notification unit notifies the operator of the node position determined on the electronic circuit.
    Type: Grant
    Filed: June 26, 2013
    Date of Patent: September 15, 2015
    Assignee: Panasonic Corporation
    Inventors: Kenji Mizutani, Nobuyuki Otsuka
  • Patent number: 9041431
    Abstract: Embedded logic is implemented in a partially reconfigurable programmable logic device (PLD), thus allowing debugging of implemented instantiations of logic after partial reconfiguration. Several instantiations of logic are received at the PLD. One instantiation of logic is implemented in a reconfigurable region of logic within the PLD. The instantiation of logic includes a port that provides a constant interface between the reconfigurable region of logic and a fixed region of logic within the PLD. The port may receive signals from probe points implemented within the reconfigurable region of logic. The port may provide the signals to a signal interface implemented within a fixed region of logic. Furthermore, an embedded logic analyzer may be implemented in either the reconfigurable region of logic or the fixed region of logic. The embedded logic analyzer receives signals from the probe points and provides signal visibility to an external computing system.
    Type: Grant
    Filed: January 22, 2014
    Date of Patent: May 26, 2015
    Assignee: Altera Corporation
    Inventors: Alan Louis Herrmann, David W. Mendel
  • Patent number: 9043665
    Abstract: A Test Wrapper and associated Test Access Mechanism (TAM) architecture for facilitating testing of IP blocks integrated on a System on a Chip (SoC). The TAM architecture includes a Test Controller and one or more Test Wrappers that are integrated on the SoC proximate to IP blocks. Test data and commands corresponding to input from an external tester are packaged by the Test Controller and sent to one or more Test Wrappers via an interconnect fabric. The Test Wrappers interface with one or more IP test ports to provide test data, control, and/or stimulus signals to the IP blocks to facilitate circuit-level testing of the IP blocks. Test results for the circuit-level tests are returned to the Test Controller via the fabric. Test Wrappers may be configured to pass through interconnect signals, enabling functional testing of IP blocks to be facilitated via test packages and test results transmitted between the Test Controller and the IP blocks via the fabric.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: May 26, 2015
    Assignee: Intel Corporation
    Inventors: Srinivas Patil, Abhijit Jas, Peter Lisherness
  • Patent number: 9026872
    Abstract: An integrated circuit (IC) structure can include a first die and a second die. The second die can include a first base unit and a second base unit. Each of the first base unit and the second base unit is self-contained and no signals pass between the first base unit and the second base unit within the second die. The IC structure can include an interposer. The interposer includes a first plurality of inter-die wires coupling the first die to the first base unit, a second plurality of inter-die wires coupling the first die to the second base unit, and a third plurality of inter-die wires coupling the first base unit to the second base unit.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: May 5, 2015
    Assignee: Xilinx, Inc.
    Inventor: Rafael C. Camarota
  • Patent number: 9015542
    Abstract: Apparatus and techniques for performing JTAG testing on production devices and systems through industry standard interfaces. The devices employ processors configured to receive packetized test input data from a tester over a standard communication interface such as a USB or Ethernet port and perform associated testing operations defined by the test input data, such as JTAG-compliant testing. This is facilitated, in part, via use of a bridge and one or more DFx handlers, with the bridge operating as an interface between the DFx handlers and a bus and/or interconnect over which test input and result data is transferred via the standard communication interface. The techniques enable testing such as JTAG testing to be performed on fully-assembled devices and systems without requiring the use of dedicated test or debug ports.
    Type: Grant
    Filed: October 1, 2011
    Date of Patent: April 21, 2015
    Assignee: Intel Corporation
    Inventors: Keith A. Jones, Daniel R. Pfunder, John H. Zurawski
  • Patent number: 9013204
    Abstract: A test system is provided. A printed circuit board (PCB) includes a plurality of traces and at least one test point. A central processing unit (CPU) socket including a plurality of first pins and a memory module slot including a plurality of second pins are disposed on the PCB. Each of the second pins is coupled to the corresponding first pin of the CPU socket via the corresponding trace. A CPU interposer board is inserted into the CPU socket, and a memory interposer board is inserted into the memory module slot. The traces form a test loop via the CPU interposer board and the memory interposer board. When an automatic test equipment (ATE) provides a test signal to the test loop via the test point, the ATE determines whether the test loop is normal according to a reflectometry result of the test signal.
    Type: Grant
    Filed: August 3, 2012
    Date of Patent: April 21, 2015
    Assignee: Wistron Corp.
    Inventors: Kuan-Lin Liu, Kuo-Jung Peng
  • Patent number: 9003253
    Abstract: A method for testing a data packet signal transceiver device under test (DUT) that minimizes time lost due to waiting for respective power levels of data packets transmitted by the DUT to settle at the desired nominal value for transmit signal testing. In accordance with exemplary embodiments, signals transmitted by the DUT during receive signal testing, e.g., as acknowledgement data packets, are transmitted at the nominal value for transmit signal testing, thereby allowing sufficient time for individual data packet signal power levels to settle and remain consistent at the nominal value by the time receive signal testing is completed and transmit signal testing is to begin.
    Type: Grant
    Filed: August 21, 2013
    Date of Patent: April 7, 2015
    Assignee: Litepoint Corporation
    Inventors: Christian Volf Olgaard, Ruizu Wang, Guang Shi
  • Publication number: 20150095733
    Abstract: An apparatus comprising a plurality of devices connected in series with one another, each of the devices comprising a test enable pin for receiving a test enable signal that indicates enablement of a test mode, and a test output pin for outputting a test output signal in the test mode, and a controller coupled to the devices and comprising an additional test output pin for outputting a test channel output signal, wherein a failure of at least one of the test output signals and the test channel output signal indicates the existence of one or more potential defects associated with the plurality of devices and the controller.
    Type: Application
    Filed: September 5, 2014
    Publication date: April 2, 2015
    Inventors: Hong Beom Pyeon, Young-Goan Kim
  • Patent number: 8990650
    Abstract: The disclosure describes novel methods and apparatuses for accessing test compression architectures (TCA) in a device using either a parallel or serial access technique. The serial access technique may be controlled by a device tester or by a JTAG controller. Further the disclosure provides an approach to access the TCA of a device when the device exists in a daisy-chain arrangement with other devices, such as in a customer's system. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: March 24, 2015
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8990649
    Abstract: The disclosure describes a novel method and apparatuses for allowing a controller to select and access different types of access ports in a device. The selecting and accessing of the access ports is achieved using only the dedicated TDI, TMS, TCK, and TDO signal terminals of the device. The selecting and accessing of device access ports can be achieved when a single device is connected to the controller, when multiple devices are placed in a daisy-chain arrangement and connected to the controller, or when multiple devices are placed in a addressable parallel arrangement and connected to the controller. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: May 10, 2013
    Date of Patent: March 24, 2015
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8990651
    Abstract: Some embodiments provide an integrated circuit (“IC”) with a primary circuit structure. The primary circuit structure is for performing multiple operations that implement a user design. The primary circuit structure includes multiple circuits. The IC also includes a secondary monitoring structure for monitoring multiple operations. The secondary monitoring structure includes a network communicatively coupled to multiple circuits of the primary circuit structure. The secondary monitoring circuit structure is for analyzing the monitored operations and reporting on the analysis to a circuit outside of the IC.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: March 24, 2015
    Assignee: Tabula, Inc.
    Inventors: Marc Miller, Steven Teig, Brad Hutchings
  • Patent number: 8977921
    Abstract: A system for providing a test result from an integrated circuit to a status analyzer. A deserializer is configured to deserialize, into data frames, messages received from the integrated circuit. The messages include the test result and are received from the integrated circuit in a serial data format. A frame sync module is configured to synchronize the data frames, output the synchronized data frames, and generate a clock signal. A gateway module is configured to receive the synchronized data frames from the frame sync module in accordance with the clock signal, convert signal levels and signal timings associated with the synchronized data frames from a first format used by the frame sync module to a second format used by the status analyzer, and provide the synchronized data frames to the status analyzer in accordance with the signal levels and the signal timings in the second format used by the status analyzer.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: March 10, 2015
    Assignee: Marvell International Ltd.
    Inventors: Saeed Azimi, Son Hong Ho, Daniel Smathers
  • Publication number: 20150067430
    Abstract: A The semiconductor integrated circuit includes a test input/output port including test pads; an internal input interface configured to generate an internal clock, an internal address, an internal command, internal data and temporary storage data in response to external signals through the test input/output port; and an error detection block configured to determine whether the internal data and the temporary storage data are the same, and output a result through one test pad of the port. The internal input interface includes a data input/output block which generates the internal data and the data input/output block includes a temporary storage part which stores the internal data as the temporary storage data, a data output part which receives the temporary storage data, and a data input part which receives an output of the data output part and outputs it as the internal data.
    Type: Application
    Filed: January 29, 2014
    Publication date: March 5, 2015
    Applicant: SK hynix Inc.
    Inventor: Dong Uk LEE
  • Publication number: 20150067429
    Abstract: A method of testing a semiconductor device includes forming a test circuit over a semiconductor substrate. The test circuit includes a plurality of interconnects electrically connected to a set of device structures supported by the semiconductor substrate. A test, such as a gate stress or leakage current test, of each device structure is conducted with the test circuit. The plurality of interconnects are removed after conducting the test.
    Type: Application
    Filed: September 3, 2013
    Publication date: March 5, 2015
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: William E. Edwards, Randall C. Gray, Christopher B. Lesher
  • Patent number: 8972811
    Abstract: A panel driving circuit that produces a panel test pattern and a method of testing a panel are provided. The driving circuit includes a pattern generation unit and a selection unit. The pattern generation unit responds to a system clock and produces pattern test data and pattern test signals. The selection unit responds to a test signal and selects and outputs either (a) the pattern test data and the pattern test signals that are outputted from the pattern generation unit, or (b) the pattern test data and pattern test signals that are directly applied from the outside. The driving circuit and the method of the panel test generates the panel test data, the horizontal synchronizing signal, the vertical synchronizing signal, and the data activating signal within the driving circuit using a system clock so that the testing of the panel can be carried out without using a separate test device.
    Type: Grant
    Filed: December 2, 2013
    Date of Patent: March 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Sik Kang, Jae-Goo Lee
  • Publication number: 20150058691
    Abstract: A method for testing a data packet signal transceiver device under test (DUT) that minimizes time lost due to waiting for respective power levels of data packets transmitted by the DUT to settle at the desired nominal value for transmit signal testing. In accordance with exemplary embodiments, signals transmitted by the DUT during receive signal testing, e.g., as acknowledgement data packets, are transmitted at the nominal value for transmit signal testing, thereby allowing sufficient time for individual data packet signal power levels to settle and remain consistent at the nominal value by the time receive signal testing is completed and transmit signal testing is to begin.
    Type: Application
    Filed: August 21, 2013
    Publication date: February 26, 2015
    Applicant: LITEPOINT CORPORATION
    Inventors: Christian Volf OLGAARD, Ruizu WANG, Guang SHI
  • Patent number: 8943457
    Abstract: An aspect of the present invention replaces memory elements in a scan chain with corresponding new (memory) elements, with each new element having two paths to provide the corresponding data output. One of the two paths is operable to connect the data value to the combinational logic only during a capture phase of said test mode, and the second path is operable to connect the data value to the next element in the chain during a shift phase of said test mode. As a result, unneeded transitions/evaluations in the combinational logic are avoided during shift time, thereby reducing the resource requirements in the corresponding duration. However, the further processes (including various design phases and fabrication) are continued based on the original data (i.e., without the new elements) such that unneeded delays are avoided during the eventual operation in functional mode of the various fabricated IC units.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: January 27, 2015
    Assignee: NVIDIA Corporation
    Inventors: Amit Dinesh Sanghani, Punit Kishore
  • Patent number: 8930782
    Abstract: Aspects of the invention relate to yield analysis techniques for generating root cause distribution information. Suspect information for a plurality of failing dies is first generated using a layout-aware diagnosis method. Based on the suspect information, potential root causes for the plurality of failing dies, and suspect feature weights and total feature weights for each of the potential root causes may then be determined. Next, the probability information of observing a particular suspect that is related to a particular root cause may be extracted. Finally, an expectation-maximization analysis may be conducted for generating the root cause distribution information based on the probability information and the suspect information. Heuristic information may be used to prevent the analysis from over-fitting.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: January 6, 2015
    Assignee: Mentor Graphics Corporation
    Inventor: Robert Brady Benware
  • Patent number: 8918685
    Abstract: This technology relates to smoothly performing a test on a memory circuit having a high memory capacity while reducing the size of a test circuit. A test circuit according to the present invention includes a test execution unit configured to perform a test on a target test memory circuit, an internal storage unit configured to store data for the test execution unit, and a conversion setting unit configured to set a part of or the entire storage space of the target test memory circuit as an external storage unit for storing the data for the test execution unit.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: December 23, 2014
    Assignee: SK Hynix Inc.
    Inventors: Hyung-Gyun Yang, Hyung-Dong Lee, Yong-Kee Kwon, Young-Suk Moon, Hong-Sik Kim
  • Patent number: 8914688
    Abstract: In a method of executing a BIST operation on IC memory arrays having a common BIST control unit, a first BIST sequence is initiated. Each address for the arrays is incremented. The BIST control unit receives a signal indicating a maximum valid address in the array is reached, receiving a plurality of maximum valid addresses, which are recorded. A single relatively highest maximum valid address is determined. A first mode, which prevents BIST testing, is engaged in each array having reached the maximum valid address. A second BIST sequence is initiated based on having received the signal indicating a maximum valid address is reached from all the arrays connected to the common BIST control unit. An address count is decremented from the single relatively highest maximum valid address. The first mode is disengaged for each array as the address count reaches each of the maximum valid addresses during the decrementing.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: December 16, 2014
    Assignee: International Business Machines Corporation
    Inventors: George M. Belansek, Kevin W. Gorman, Kiran K. Narayan, Krishnendu Mondal, Michael R. Ouellette
  • Publication number: 20140365841
    Abstract: A signal processing system includes a module under test, an oscillation signal generator, a translational filter, and a testing module. The module under test has a signal input end. The oscillation signal generator generates an oscillation signal. The translational filter includes a mixer controlled by the oscillation signals. The mixer has a high-frequency side and a low-frequency side. The high-frequency side is coupled to the signal input end of the module under test. The testing module is coupled to the low-frequency side of the mixer. When the signal processing system is in a testing mode, the testing module provides a testing signal to the low-frequency side, so as to generate a high-frequency testing signal at the high-frequency side of the mixer.
    Type: Application
    Filed: May 9, 2014
    Publication date: December 11, 2014
    Applicant: MStar Semiconductor, Inc.
    Inventors: Shih-Chieh Yen, Chih-Ming Hung
  • Patent number: 8910003
    Abstract: An address and command port interface selectively enables JTAG TAP domain operations and Trace domain operations within an IC. The port carries TMS and TDI input and TDO output on a single pin and receives a clock signal on a separate pin. The addressable two pin interface loads and updates instructions and data to the TAP domain within the IC. The instruction or data update operations in multiple ICs occur simultaneously. A process transmits data from an addressed target device to a controller using data frames, each data frame comprising a header bit and data bits. The logic level of the header bit is used to start, continue, and stop the data transmission to the controller. A data and clock signal interface between a controller and multiple target devices provides for each target device to be individually addressed and commanded to perform a JTAG or Trace operation.
    Type: Grant
    Filed: June 5, 2014
    Date of Patent: December 9, 2014
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel