Device Response Compared To Input Pattern Patents (Class 714/735)
  • Publication number: 20130227367
    Abstract: A test system based on multiple instances of reconfigurable instrument IP specifically matched to the device under test may be used in integrating automated testing of semiconductor devices between pre-silicon simulation, post-silicon validation, and production test phases, in one embodiment of software and hardware across all three phases, for different devices. The reconfigurable test system comprises: a tester instrument, instances of instrument IP instantiated in the tester instruments, a computer system, and a test program. The tester instrument connects to a device under test (DUT), and includes FPGAs reconfigurable for the three testing phases. The computer system has a user interface, and a controller connected to the reconfigurable tester instrument via a data bus.
    Type: Application
    Filed: January 16, 2013
    Publication date: August 29, 2013
    Inventors: Allen J. Czamara, Ed Paulsen, Lev Alperovich
  • Patent number: 8522099
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: May 7, 2012
    Date of Patent: August 27, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Joe M. Jeddeloh
  • Patent number: 8515416
    Abstract: In a radio device such as a receiver or transceiver, a test operation can be performed to determine performance. A received signal can be processed to obtain demodulated samples, which can be provided to a logic to perform a logic operation on the samples to generate a logic output. A storage such as a counter or other mechanism is coupled to the logic to store a count of a number of the logic outputs having an error.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: August 20, 2013
    Assignee: Silicon Laboratories Inc
    Inventor: Hendricus De Ruijter
  • Patent number: 8510613
    Abstract: A method includes temporarily storing write-data to be written into non-volatile memory cells, respectively, the memory cells being divided into cell groups, performing a first operation including write-phases performed in series and on an associated cell group and including applying a write-voltage to the memory cells belonging to the associated cell group in response to an associated write-data to be written into the memory cells belonging to the cell groups, and performing a second operation after the first operation is completed, which includes read-phases performed in series and on an associated cell group and including applying a first read-voltage to the memory cell or cells belonging to the associated one of the cell groups to produce first read-data therefrom, and comparing the first read-data with the write-data to be written into the memory cells belonging to the associated cell groups to produce comparison data.
    Type: Grant
    Filed: February 25, 2011
    Date of Patent: August 13, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Akiyoshi Seko
  • Patent number: 8479048
    Abstract: In the system management server, an information processing apparatus that is an event-information acquisition target is registered as a monitored apparatus in configuration information; event information that complies with a rule stored in advance is identified from among a plurality of pieces of event information stored in the system management server; a server apparatus for a network service related to the event information is identified; and a message is displayed which indicates that the cause of the event that occurred in a client information processing apparatus which has generated event information is an event related to the network service, which occurred in the server apparatus.
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: July 2, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Tomohiro Morimura, Takayuki Nagai, Kiminori Sugauchi, Takaki Kuroda, Yoshihiro Arato
  • Patent number: 8458539
    Abstract: An apparatus for debugging internal signals of integrated circuits is presented. In one embodiment, the apparatus comprises a number of vector registers associated with states of a state machine. A group of registers, associated with a state of the state machine, comprises a mask register an arm register. A comparator compares debug data with contents of the mask register and the arm register to determine a comparison result to be stored in one or more bit positions of the vector register. The apparatus further comprises a triggering logic unit to determine whether or not to trigger a fire event based on the vector registers.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: June 4, 2013
    Assignee: Intel Corporation
    Inventors: Tsvika Kurts, Daniel Skaba, Michael Israeli, Itai Samoelov, Julius Mandelblat
  • Patent number: 8453024
    Abstract: A test controller applies test stimulus signals to the input pads of plural die on a wafer in parallel. The test controller also applies encoded test response signals to the output pads of the plural die in parallel. The encoded test response signals are decoded on the die and compared to core test response signals produced from applying the test stimulus signals to core circuits on the die. The comparison produces pass/fail signals that are loaded in to scan cells of an IEEE 1149.1 scan path. The pass/fail signals then may be scanned out of the die to determine the results of the test.
    Type: Grant
    Filed: June 14, 2012
    Date of Patent: May 28, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8418013
    Abstract: The invention relates to automated hardware in the loop testing. A method of automated diagnostic testing is described as monitoring, modifying, overwriting, providing and/or providing read-only access to input data given to a tested application and output data provided by a tested application to compare a desired relationship between input data and output data. A preferred system includes a communication network with a preferred method including a controller area network to associate a test controlling system to a tested application. An automated diagnostic testing system comprises a test controlling system operably coupled to a tested application.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: April 9, 2013
    Assignee: Deere & Company
    Inventors: Bryan D. Sulzer, Scott J. Breiner
  • Patent number: 8418007
    Abstract: Disclosed herein are exemplary embodiments of a so-called “X-press” test response compactor. Certain embodiments of the disclosed compactor comprise an overdrive section and scan chain selection logic. Certain embodiments of the disclosed technology offer compaction ratios on the order of 1000x. Exemplary embodiments of the disclosed compactor can maintain about the same coverage and about the same diagnostic resolution as that of conventional scan-based test scenarios. Some embodiments of a scan chain selection scheme can significantly reduce or entirely eliminate unknown states occurring in test responses that enter the compactor. Also disclosed herein are embodiments of on-chip comparator circuits and methods for generating control circuitry for masking selection circuits.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: April 9, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer
  • Patent number: 8352794
    Abstract: Clock signal control circuitry is disclosed along with a method for switching a clock between modes and a computer program product. The clock signal control circuitry is for receiving a clock signal from a clock signal generator and for outputting said clock signal to synchronous circuitry that is to be clocked by said clock signal.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: January 8, 2013
    Assignee: ARM Limited
    Inventors: Remi Teyssier, Florent Begon, Jocelyn Francois Orion Jaubert, Cédric Denis Robert Airaud
  • Patent number: 8335955
    Abstract: A method for reconstructing a signal from incomplete data in a signal processing device includes acquiring incomplete signal data. An initial reconstruction of the incomplete signal data is generated. A reconstruction is generated starting from the initial reconstruction by repeating the steps of: calculating a sparsity transform of the reconstruction, measuring an approximation of sparsity of the reconstruction by applying an m-estimator to the calculated sparsity transform, and iteratively optimizing the reconstruction to minimize output of the m-estimator thereby maximizing the approximation of sparsity for the reconstruction. The optimized reconstruction is provided as a representation of the incomplete data.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: December 18, 2012
    Assignee: Siemens Aktiengesellschaft
    Inventors: Ali Kemal Sinop, Leo Grady
  • Patent number: 8327309
    Abstract: A system on a chip comprises a plurality of circuit blocks, a programmable processor and a communication circuit. Design information includes connection data including an identification of the direct mutual connection and first and second circuit blocks coupled by the direct mutual connection. An additional register is added to the system on a chip coupled to the direct mutual connection. Verification programs are used includescomprising instructions for the processor to access registers in the second one of the circuit blocks, to use the connection data, or information derived therefrom to select the first one of the circuit blocks, and to issue the standardized call to the interface program of the selected further one of the circuit blocks.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: December 4, 2012
    Assignee: Synopsys, Inc.
    Inventors: Jan Stuyt, Bernard W. De Ruyter, Roelof P. De Jong, Pieter Struik, Joris H. J. Geurts
  • Patent number: 8312330
    Abstract: A system for testing a communication device includes a testing module, a measurement module, and a control module. The testing module transmits one or more first test signals based on a first test sequence. The measurement module acquires test data by receiving one or more second test signals that are based on the one or more first test signals. The control module initiates the first test sequence in response to receiving a start test signal from an analysis system. The control module transfers the test data to the analysis system in response to a transfer data request. The control module initiates a second test sequence while the analysis system is analyzing the test data. The testing module generates and transmits one or more third test signals based on the second sequence when the second test sequence has been initiated.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: November 13, 2012
    Assignee: Litepoint Corporation
    Inventor: Christian Volf Olgaard
  • Patent number: 8286043
    Abstract: A system for testing a logic circuit which has two or more test routine modules. Each module contains a set of instructions which is executable by (a part of) the logic circuit. The set forms a test routine for performing a self-test by the part of the logic circuit. The self-test includes the part of the logic circuit testing itself for faulty behavior, and the part of the logic circuit determining a self-test result of the testing. The system includes a test module which can execute a test application which subjects the logic circuit to a test by performing the self-test on at least a part of the logic circuit by causes the part of the logic circuit to execute a selected test routine, and determining, by the test module, an overall test result at least based on a performed self-tests. The test module includes a control output interface for activates the execution of the a selected test routine. A second test module input interface can receive the self-test result from a selected test routine.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: October 9, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Oleksandr Sakada, Florian Bogenberger
  • Patent number: 8278961
    Abstract: Provided is a test apparatus for testing a device under test, including: a level comparing section that receives a signal under test output from the device under test and outputs a logical value, the logical value indicating a comparison result obtained by comparing a signal level of the signal under test with preset first threshold and second threshold; an acquiring section that acquires the logical value output from the level comparing section, according to a strobe signal supplied thereto; an expected value comparing circuit that determines whether the logical value acquired by the acquiring section corresponds to a preset expected value; and a threshold control section that sets an upper limit and a lower limit of a voltage of the eye mask to the level comparing section as the first threshold and the second threshold, when an eye mask test is performed for determining whether an eye opening of the signal under test is larger than a predefined eye mask.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: October 2, 2012
    Assignee: Advantest Corporation
    Inventors: Daisuke Watanabe, Toshiyuki Okayasu
  • Patent number: 8214706
    Abstract: A semiconductor device including an electronic circuit, a memory, and an error detecting module. The electronic circuit is configured to receive an input signal having been generated by a test module, and generate an output signal based on the input signal. The memory is configured to store a predetermined output value that is expected to be output from the electronic circuit based on the electronic receiving the input signal, wherein the predetermined output value is stored in the memory prior to the input signal being generated by the test module. The error detecting module is configured to (i) generate a sample value of the output signal, (ii) compare the sample value of the output signal to the predetermined output value stored in the memory, and (iii) generate a result signal that indicates whether the sample value of the output signal matches the predetermined output value.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: July 3, 2012
    Assignee: Marvell International Ltd.
    Inventors: Masayuki Urabe, Akio Goto
  • Patent number: 8199521
    Abstract: A memory module includes an electronic printed circuit board with at least one contact strip, a plurality of integrated memory components, at least one first and one second buffer component, and a number of conductor tracks, which proceed from the contact strip and which are arranged on or in the printed circuit board. The conductor tracks include data lines, control lines and address lines. The conductor tracks lead from the contact strip to the buffer components or to one of the buffer components. The printed circuit board has conductor tracks that are interposed between the first buffer component and the second buffer component and that lead from the first buffer component to the second buffer component.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: June 12, 2012
    Assignee: Qimonda AG
    Inventor: Simon Muff
  • Patent number: 8201035
    Abstract: Testing system capable of detecting different kinds of memory faults of a memory under I/O compression includes a data pattern selection circuit, writing pattern selection units, reading pattern selection units, and a data comparison circuit. The data pattern selection circuit converts a testing data into different data patterns by the writing pattern selection units and accordingly writes to the corresponding memory data ends in order to allow the corresponding memory cells to store the data with the corresponding data pattern. The data comparison circuit executes reverse-converting through the reading pattern selection units for comparing if the data stored in the memory cells corresponding to each memory data end are matched and accordingly determines if a failure memory cell exists in the memory.
    Type: Grant
    Filed: November 11, 2009
    Date of Patent: June 12, 2012
    Assignee: Etron Technology, Inc.
    Inventors: Shih-Hsing Wang, Kuo-Hua Lee, Chih-Ming Cheng
  • Patent number: 8195995
    Abstract: A integrated circuit comprises a circuit part to be protected and protective lines located at least one wiring level of the integrated circuit. In addition, the integrated circuit comprises logical gates coupled to the protective lines, whereby a logic circuit is formed, and a processing unit implemented to detect a manipulation of the integrated circuit by applying test patterns to the logic circuit and verifying a logic output value of the logic circuit responsive to the test patterns.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: June 5, 2012
    Assignee: Infineon Technologies AG
    Inventors: Marcus Janke, Korbinian Engl
  • Publication number: 20120124441
    Abstract: The present invention discloses an embedded testing module and testing method thereof which encodes one or more test commands to reduce the storage space required by a testing memory. In addition, most functions of automatic test equipment can be replaced by the present invention, in which, through the testing memory according to the present invention, if errors are found during testing, the error information will be transmitted to the external automatic test equipment and the error information can be optionally recorded in a memory. A test operator can get detailed descriptions from the error information stored in the memory, so the test operator can save time for subsequent debugging and tracking operations concerning the errors.
    Type: Application
    Filed: January 5, 2011
    Publication date: May 17, 2012
    Applicant: HOY TECHNOLOGIES CO
    Inventors: LI-MING TENG, YU-TSAO HSING
  • Patent number: 8176371
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: May 8, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Joe M. Jeddeloh
  • Patent number: 8145967
    Abstract: A system and method for verifying the receive path of an input/output device such as a network interface circuit. The device's operation with various different input sources (e.g., networks) and output sources (e.g., hosts, host buses) is modeled in a verification layer that employs multiple queues to simulate receipt of packets, calculation of destination addresses and storage of the packet data by the device. Call backs are employed to signal completion of events related to storage of packet data by the device and modeling of data processing within the verification layer. Processing of tokens within the verification layer to mimic the device's processing of corresponding packets is performed according to a dynamic DMA policy modeled on the device's policy. The policy is dynamic and can be updated or replaced during verification without interrupting the verification process.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: March 27, 2012
    Assignee: Oracle America, Inc.
    Inventors: Arvind Srinivasan, Rahoul Puri
  • Patent number: 8090565
    Abstract: In one embodiment, a system model models characteristics of a real-world system. The system model includes a plurality of sub-portions that each correspond to a component of the real-world system. A plurality of test vectors are applied to the system model and coverage achieved by the test vectors on the sub-portions of the system model is measured. In response to a failure of the real world system, a suspected failed component of the real-world system is matched to a particular sub-portion of the system model. A test vector to be applied to the real-world system to test the suspected failed component is selected in response to coverage achieved on the particular sub-portion of the system model.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: January 3, 2012
    Assignee: The MathWorks, Inc.
    Inventor: Thomas Gaudette
  • Publication number: 20110307748
    Abstract: Techniques for designing and storing test input and output data vectors to diagnose bit errors in a testing sequence. In an aspect, test input vectors may be chosen such that the corresponding correct output vectors form codewords of a forward error-correcting code. In another aspect, the correct test output vectors may be compressed to reduce the memory requirements of the testing system. In yet another aspect, test input vectors may be sorted such that the test output vectors are monotonically increasing or decreasing in sequence, and corresponding delta's between output vectors in the sequence may be stored to reduce the memory requirements. Further aspects provide for storing information relating to the correct output vectors in various efficient formats, including storing base value-referenced offsets, and storing relative operations and output vector segments to allow derivation of correct output vectors from memory when required.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 15, 2011
    Applicant: QUALCOMM INCORPORATED
    Inventor: Michael Laisne
  • Patent number: 8069378
    Abstract: A method and apparatus for evaluating and optimizing a signaling system is described. A pattern of test information is generated in a transmit circuit of the system and is transmitted to a receive circuit. A similar pattern of information is generated in the receive circuit and used as a reference. The receive circuit compares the patterns. Any differences between the patterns are observable. In one embodiment, a linear feedback shift register (LFSR) is implemented to produce patterns. An embodiment of the present disclosure may be practiced with various types of signaling systems, including those with single-ended signals and those with differential signals. An embodiment of the present disclosure may be applied to systems communicating a single bit of information on a single conductor at a given time and to systems communicating multiple bits of information on a single conductor simultaneously.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: November 29, 2011
    Assignee: Rambus Inc.
    Inventors: Jared Zerbe, Pak Shing Chau, William Franklin Stonecypher
  • Patent number: 8051343
    Abstract: Example embodiments relate to a method and system of testing a memory module having the process of receiving single ended input signals via differential input terminals through which differential pairs of packet signals may be received from a testing equipment, wherein a number of terminals of the testing equipment may be different from a number of terminals of the memory module, and testing memory chips of the memory module based on the single ended input signals.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: November 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Man Shin, Byung-Se So, Seung-Jin Seo, You-Keun Han
  • Patent number: 8020057
    Abstract: A test controller applies test stimulus signals to the input pads of plural die on a wafer in parallel. The test controller also applies encoded test response signals to the output pads of the plural die in parallel. The encoded test response signals are decoded on the die and compared to core test response signals produced from applying the test stimulus signals to core circuits on the die. The comparison produces pass/fail signals that are loaded in to scan cells of an IEEE 1149.1 scan path. The pass/fail signals then may be scanned out of the die to determine the results of the test.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: September 13, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Lee D. Whetsel
  • Patent number: 8010851
    Abstract: A testing module including a designation information storing section that stores designation information designating an order of decoding fundamental patterns, a fundamental pattern storing section that stores the fundamental patterns, a plurality of pattern generating sections that each generate a test pattern to be supplied to a device under test, a plurality of position information storing sections that each store, in association with a corresponding pattern generating section, position information designating a read position from which the designation information is read from the designation information storing section, and an information transmission path shared by the pattern generating sections that transmits a part of the designation information from the designation information storing section to the designation information temporary storing section in each pattern generating section.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: August 30, 2011
    Assignee: Advantest Corporation
    Inventors: Sami Akhtar, Kiyoshi Murata, Tomoyuki Sugaya
  • Patent number: 8006152
    Abstract: A method comprises generating a test pattern for a device under test (DUT), wherein the DUT comprises a plurality of scan chains coupled to a plurality of multiple input shift registers (MISRs). The plurality of faults detected by a first MISR and by a second MISR are identified. In the event the plurality of faults detected by the first MISR does not include any of the plurality of faults detected by the second MISR and the plurality of faults detected by the second MISR does not include any of the plurality of faults detected by the first MISR, the first MISR and the second MISR are coupled as an independent MISR pair. The test pattern is applied to the DUT to generate a scan chain output. The independent MISR pair captures the scan chain output to generate a test signature. The test signature is compared with a known good signature.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: August 23, 2011
    Assignee: International Business Machines Corporation
    Inventors: Samuel I. Ward, Patrick R. Crosby, William D. Ramsour, Bao G. Truong
  • Patent number: 8006156
    Abstract: Various exemplary embodiments provide methods and apparatuses for generating test conditions that efficiently detect delay faults while preventing overkill. According to an exemplary embodiment, i) test timing correcting block sets test timing faster than the actual operation timing of a logical circuit to be tested, ii) logical simulation block performs simulation by using delay times of signal paths corrected by adding minimum slack margin, and iii) when the simulation indicates that an end-side flip-flop cannot acquire data after an expected transition of logical value, masking block generates mask data that masks data held in the end-side flip-flop.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: August 23, 2011
    Assignee: Kawasaki Microelectronics, Inc.
    Inventor: Hiromi Kojima
  • Patent number: 8001439
    Abstract: Systems and methods of testing integrated circuits are disclosed. The systems include a test module configured to operate between automated testing equipment and an integrated circuit to be tested. The testing interface is configured to test the integrated circuit at a higher slew rate than the slew rate at which signals are received from the automated testing equipment. In order to do so, the testing interface includes components configured for generating addresses, commands, and test data to be conveyed to the integrated circuit. A variety of test data patterns can be produced and the test data can be address dependent. The systems are optionally configured to include a test plan memory component configured to store one or more test plans. A test plan may include a sequence of test patterns and/or conditional branches whereby the tests to be performed next are dependent on the results of the preceding tests. The test plan memory is, optionally, be detachable from the test module.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: August 16, 2011
    Assignee: Rambus Inc.
    Inventor: Adrian E. Ong
  • Patent number: 7996742
    Abstract: A circuit arrangement comprising a logic circuit to be tested and a test circuit. The logic circuit comprising logic-circuit-internal combinations configured to generate output data from input data based on a predetermined relationship. The logic circuit is configured to detect whether the relationship is satisfied and to provide an error signal if the relationship is not satisfied. The test circuit is configured to alter logic-circuit-internal combinations, to detect the error signal, and to output an alarm signal if the error signal is not detected upon alteration of the logic-circuit-internal combinations.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: August 9, 2011
    Assignee: Infineon Technologies AG
    Inventors: Marcus Janke, Franz Klug, Peter Laackmann, Dirk Rabe, Stefan Rueping
  • Patent number: 7991081
    Abstract: Digital signals are transmitted on a bus at given instants selectively in a non-encoded format and an encoded format. The decision whether to transmit the signals in non-encoded format or in encoded format is taken in part, based on a comparison of the signal to be transmitted on the bus for an instant of the aforesaid given instants with the signal transmitter on the bus for the preceding instant, so as to minimize switching activity on the bus.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: August 2, 2011
    Assignee: STMicroelectronics S.r.l.
    Inventors: Francesco Pappalardo, Giuseppe Notarangelo
  • Patent number: 7992059
    Abstract: A system and method for replicating a memory block throughout a main memory and modifying real addresses within an address translation buffer to reference the replicated memory blocks during test case set re-executions in order to fully test the main memory is presented. A test case generator generates a test case set (multiple test cases) along with an initial address translation buffer that includes real addresses that reference an initial memory block. A test case executor modifies the real addresses after each test case set re-execution in order for a processor to test each replicated memory block included in the main memory.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: August 2, 2011
    Assignee: International Business Machines Corporation
    Inventors: Divya Subbarao Anvekar, Shubhodeep Roy Choudhury, Manoj Dusanapudi, Sunil Suresh Hatti, Shakti Kapoor
  • Patent number: 7970594
    Abstract: A mechanism for exploiting the data gathered about a system model during the system design phase to aid the identification of errors subsequently detected in a deployed system based on the system model is disclosed. The present invention utilizes the coverage analysis from the design phase that is originally created to determine whether the system model as designed meets the specified system requirements. Included in the coverage analysis report is the analysis of which sets of test vectors utilized in simulating the system model excited individual components and sections of the system model. The present invention uses the information associated with the test vectors to select appropriate test vectors to use to perform directed testing of the deployed system so as to confirm a suspected fault.
    Type: Grant
    Filed: June 30, 2005
    Date of Patent: June 28, 2011
    Assignee: The MathWorks, Inc.
    Inventor: Thomas Gaudette
  • Patent number: 7966528
    Abstract: A method for handling watchdog events of an electronic device includes detecting a watchdog fault in a normal mode, which is a watchdog event in which a watchdog trigger is not correctly serviced; entering from the normal mode into a first escalation level of nx escalation levels upon detection of the watchdog fault, wherein nx is an integer equal to or greater than 1; detecting correct watchdog events, which are watchdog events in which a watchdog trigger is correctly serviced; and concurrently detecting watchdog faults, leaving the first escalation level if a first escalation condition is met. An electronic device embodiment includes a CPU and program instructions for carrying out the method.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: June 21, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Rainer Troppmann, Giuseppe Maimone
  • Patent number: 7966527
    Abstract: A method for handling watchdog events of an electronic device includes detecting a watchdog fault in a normal mode, which is a watchdog event in which a watchdog trigger is not correctly serviced; entering from the normal mode into a first escalation level of nx escalation levels upon detection of the watchdog fault, wherein nx is an integer equal to or greater than 1; detecting correct watchdog events, which are watchdog events in which a watchdog trigger is correctly serviced; and concurrently detecting watchdog faults, leaving the first escalation level if a first escalation condition is met, and recovering in a recovering step back from any of the nx escalation levels to a previous level or mode, if a de-escalation condition is met. An electronic device embodiment includes a CPU and program instructions for carrying out the method.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: June 21, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Giuseppe Maimone, Rainer Troppmann
  • Patent number: 7941716
    Abstract: A method for race prevention includes: selectively providing data or scan data to a input latching logic, activating the input latching logic for a first scan mode activation period, introducing a substantial time shift between the first scan mode activation period and a second scan mode activation period, and activating a output latching logic, connected to the input latching logic for a second scan mode activation period. A device having race prevention capabilities includes: an interface logic, a input latching logic, a output latching logic and a control logic. The interface logic is adapted to selectively provide data or scan data to the input latching logic. The control logic is adapted to introduce a substantial time difference between an end point of a first scan mode activation period of the input latching logic and a start point of a second scan mode activation period of the output latching logic.
    Type: Grant
    Filed: March 23, 2005
    Date of Patent: May 10, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Michael Priel, Dan Kuzmin, Anton Rozen
  • Patent number: 7934136
    Abstract: Provided is a test apparatus for testing a specimen by using a test pattern and an expected value pattern. The test apparatus includes: a control unit for outputting a test pattern to the specimen; a pattern converting unit for converting the expected value pattern based on an output pattern output from the specimen upon an input of the test pattern; and a determination unit for determining the specimen as a non-defective product or a defective product by using the converted expected value pattern.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: April 26, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Eiji Harada
  • Patent number: 7930609
    Abstract: A circuit verifying method is provided for a logic circuit of a first sequential circuit which outputs a first data based on an input data in synchronization with a first clock signal, and a second sequential circuit which outputs a second data based on the first data in synchronization with a second clock signal with a period longer than that of a first clock signal. The circuit verifying method includes detecting a change of the input data in synchronization with the first clock signal; outputting a data indicating a meta stable state during a period longer than one period of the first clock signal based on the change of the input data as the first data; storing the changed input data in a storage unit based on the change of the input data; and outputting the changed input data which has been stored in the storage unit as the first data after stop the output of the data indicating the meta stable state.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: April 19, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Tsuyoshi Inagawa
  • Patent number: 7930601
    Abstract: A method for implementing at speed bit fail mapping of an embedded memory system having ABIST (Array Built In Self Testing), comprises using a high speed multiplied clock which is a multiple of an external clock of an external tester to sequence ABIST bit fail testing of the embedded memory system. Collect store fail data during ABIST testing of the embedded memory system. Perform a predetermined number of ABIST runs before issuing a bypass order substituting the external clock for the high speed multiplied clock. Use the external clock of the tester to read bit fail data out to the external tester.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: April 19, 2011
    Assignee: International Business Machines Corporation
    Inventors: Joseph Eckelman, Donato O. Forlenza, Orazio P. Forlenza, William J. Hurley, Thomas J. Knips, Gary William Maier, Phong T. Tran
  • Patent number: 7925949
    Abstract: Electronic apparatus, systems, and methods of operating and constructing the electronic apparatus and/or systems include an embedded processor disposed in a logic chip to direct, among other functions, self-testing of an electronic device structure in conjunction with a pattern buffer disposed in the logic chip, when the electronic device structure is coupled to the logic chip. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: April 12, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Joe M. Jeddeloh
  • Patent number: 7913137
    Abstract: Disclosed herein are exemplary embodiments of a so-called “X-press” test response compactor. Certain embodiments of the disclosed compactor comprise an overdrive section and scan chain selection logic. Certain embodiments of the disclosed technology offer compaction ratios on the order of 1000×. Exemplary embodiments of the disclosed compactor can maintain about the same coverage and about the same diagnostic resolution as that of conventional scan-based test scenarios. Some embodiments of a scan chain selection scheme can significantly reduce or entirely eliminate unknown states occurring in test responses that enter the compactor. Also disclosed herein are embodiments of on-chip comparator circuits and methods for generating control circuitry for masking selection circuits.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: March 22, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer
  • Patent number: 7908108
    Abstract: A circuit testing apparatus for testing a device under test is disclosed. The device under test comprises a first output end and second output end for generating a first output signal and a second output signal, respectively. The circuit testing apparatus determines a test result for the device under test according to the first output signal and the second output signal.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: March 15, 2011
    Assignee: Princeton Technology Corporation
    Inventors: Cheng-Yung Teng, Li-Jieu Hsu
  • Patent number: 7900107
    Abstract: The invention provides an internal comparison circuits for speeding up the ATPG test. During test, an external test machine transfers original test patterns into at least one scan chain of a chip to be tested. A bi-directional output buffer of the chip also receives the test patterns from the test machine. A comparator of the chip compares the original test patterns from the test machine via the bi-directional output buffer group with scanned-out test patterns from the scan chain, to produce a comparison signal indicating whether the chip passes or fails the test.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: March 1, 2011
    Assignee: Faraday Technology Corp.
    Inventors: Wang-Chin Chen, Augusli Kifli
  • Patent number: 7886206
    Abstract: A semiconductor memory test device and method thereof are provided. The example semiconductor memory test device may include a fail memory configured to store at least one test result of a memory under test, a mode selecting unit configured to output a selection signal for selecting a memory address protocol of the fail memory based upon which one of a plurality of test modes is active in the memory under test and an address arranging unit configured to arrange address signals to conform with the selected memory address protocol in response to the selection signal received from the mode selecting unit.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: February 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-Young Park, Ki-Sang Kang
  • Patent number: 7873891
    Abstract: A test circuit and programmable voltage divider that may be used in the test circuit. The programmable voltage divider develops a voltage difference signal that may be digitally selected. The test circuit may be used to test and characterize sense amplifiers. The programmable voltage divider develops a signal with a selected polarity and magnitude that is provided to a sense amplifier being tested. The sense amplifier is set and its output latched. The latch contents are checked against an expected value. The difference voltage may be changed and the path retested to find passing and failing points.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: January 18, 2011
    Assignee: International Business Machines Corporation
    Inventors: Yuen H. Chan, Rajiv V. Joshi
  • Patent number: 7853846
    Abstract: A method for determining that failures in semiconductor test are due to a defect potentially causing a hold time violation in a scan cell in a scan chain, counting the number of potential defects, and, if possible, localizing, and ameliorating hold time defects in a scan chain.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: December 14, 2010
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Stephen A. Cannon, Richard C. Dokken, Alfred L. Crouch, Gary A. Winblad
  • Publication number: 20100313091
    Abstract: A plurality of tester channels is provided. The tester channels are capable of outputting double speed test patterns when a pin-multiplex-mode is designated. Each of the tester channels is provided with a level determination unit to output a level determination signal, a signal multiplexing unit, and an expected value comparison unit to receive an output from the signal multiplexing unit. The signal multiplexing unit multiplexes an outputted level determination signal obtained in one of the tester channels and a level determination signal obtained from a level determination unit of another one of the tester channels when a double speed test mode is designated. The signal multiplexing unit outputs a signal corresponding to the level determination signal of the one of the tester channels when the double speed test mode is canceled. A strobe time can be set individually for each of the tester channels to obtain a comparison result.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 9, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Yoshiaki Kodashiro
  • Patent number: 7849373
    Abstract: Example embodiments relate to a method and system of testing a memory module having the process of receiving single ended input signals via differential input terminals through which differential pairs of packet signals may be received from a testing equipment, wherein a number of terminals of the testing equipment may be different from a number of terminals of the memory module, and testing memory chips of the memory module based on the single ended input signals.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: December 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Man Shin, Byung-Se So, Seung-Jin Seo, You-Keun Han