Logic Circuit Synthesis (mapping Logic) Patents (Class 716/104)
  • Patent number: 11968126
    Abstract: A method includes providing a library of hardware-agnostic packet-processing functions. A functional hardware-agnostic specification of a packet-processing pipeline, for use in a network device, is received from a user. The specification is defined in terms of one or more of the packet-processing functions draws from the library. A hardware-specific design of the packet-processing pipeline, which is suited to given hardware, is derived from the specification.
    Type: Grant
    Filed: September 29, 2022
    Date of Patent: April 23, 2024
    Assignee: MELLANOX TECHNOLOGIES, LTD.
    Inventors: Roni Bar Yanai, Jiawei Wang, Yossef Efraim, Chen Rozenbaum
  • Patent number: 11922105
    Abstract: A computer-aided design (CAD) tool is provided for logic optimization and synthesis. The CAD tool executes a process that involves optimizing power, performance, and area (PPA) of a logic circuit by minimizing a number of CMOS gates, and majority and/or minority gates in the circuit and its depth. The CAD tool implements a methodology of optimizing logic synthesis based on a mix of standard cell libraries (such as AND, OR, NAND, NOR, XOR, Multiplexer, full adder, half adder, etc.) and varying input majority and minority gates (where the number of inputs in the minority and majority gates could vary as odd numbers from 3 and above). The standard cell libraries cells may contain minority and/or majority gates.
    Type: Grant
    Filed: November 10, 2021
    Date of Patent: March 5, 2024
    Assignee: Kepler Computing Inc.
    Inventors: Ikenna Odinaka, Sasikanth Manipatruni, Darshak Doshi, Rajeev Kumar Dokania, Amrita Mathuriya
  • Patent number: 11880743
    Abstract: Systems, computer-implemented methods, and computer program products to facilitate synthesis of a quantum circuit are provided. According to an embodiment, a system can comprise a memory that stores computer executable components and a processor that executes the computer executable components stored in the memory. The computer executable components can comprise a circuit generation component that generates, iteratively, quantum circuits from 1 to N two-qubit gates, wherein at least one or more iterations (1, 2, . . . , N) adds a single two-qubit gate to circuits from a previous iteration based on using added single 2-qubit gates that represent operations distinct from previous operations relative to previous iterations. The computer executable components can further comprise a circuit identification component that identifies, from the quantum circuits, a desired circuit that matches a quantum circuit representation.
    Type: Grant
    Filed: February 13, 2023
    Date of Patent: January 23, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sergey Bravyi, Andrew W. Cross, Shelly-Erika Garion, Dmitri Maslov
  • Patent number: 11868899
    Abstract: A model configuration selection system, the model configuration selection system comprising a processing circuitry configured to: (A) obtain: (a) one or more model configurations, each model configuration includes a set of parameters utilized to generate respective models, and (b) a training data-set comprising a plurality of unlabeled records, each unlabeled record including a collection of features describing a given state of a physical entity; (B) cluster the training data-set into two or more training data-set clusters using a clustering algorithm; (C) label (a) the unlabeled records of a subset of the training data-set clusters with a synthetic normal label, giving rise to a normal training data-set, and (b) the unlabeled records of the training data-set clusters not included in the subset with a synthetic abnormal label; (D) train, for each model configuration, using the normal training data-set, a corresponding model utilizing the corresponding set of parameters, each model capable of receiving the unl
    Type: Grant
    Filed: February 27, 2023
    Date of Patent: January 9, 2024
    Assignee: Saferide Technologies Ltd.
    Inventors: Sofiia Kovalets, Stanislav Barabanov, Yuval Shalev, Alexander Apartsin
  • Patent number: 11861279
    Abstract: A computer-aided design (CAD) tool is provided for logic optimization and synthesis. The CAD tool executes a process that involves optimizing power, performance, and area (PPA) of a logic circuit by minimizing a number of CMOS gates, and majority and/or minority gates in the circuit and its depth. The CAD tool implements a methodology of optimizing logic synthesis based on a mix of standard cell libraries (such as AND, OR, NAND, NOR, XOR, Multiplexer, full adder, half adder, etc.) and varying input majority and minority gates (where the number of inputs in the minority and majority gates could vary as odd numbers from 3 and above). The standard cell libraries cells may contain minority and/or majority gates.
    Type: Grant
    Filed: January 19, 2022
    Date of Patent: January 2, 2024
    Assignee: KEPLER COMPUTING INC.
    Inventors: Ikenna Odinaka, Sasikanth Manipatruni, Darshak Doshi, Rajeev Kumar Dokania, Amrita Mathuriya
  • Patent number: 11861278
    Abstract: A computer-aided design (CAD) tool is provided for logic optimization and synthesis. The CAD tool executes a process that involves optimizing power, performance, and area (PPA) of a logic circuit by minimizing a number of CMOS gates, and majority and/or minority gates in the circuit and its depth. The CAD tool implements a methodology of optimizing logic synthesis based on a mix of standard cell libraries (such as AND, OR, NAND, NOR, XOR, Multiplexer, full adder, half adder, etc.) and varying input majority and minority gates (where the number of inputs in the minority and majority gates could vary as odd numbers from 3 and above). The standard cell libraries cells may contain minority and/or majority gates.
    Type: Grant
    Filed: October 29, 2021
    Date of Patent: January 2, 2024
    Assignee: KEPLER COMPUTING INC.
    Inventors: Ikenna Odinaka, Sasikanth Manipatruni, Darshak Doshi, Rajeev Kumar Dokania, Amrita Mathuriya
  • Patent number: 11853666
    Abstract: A computer-aided design (CAD) tool is provided for logic optimization and synthesis. The CAD tool executes a process that involves optimizing power, performance, and area (PPA) of a logic circuit by minimizing a number of CMOS gates, and majority and/or minority gates in the circuit and its depth. The CAD tool implements a methodology of optimizing logic synthesis based on a mix of standard cell libraries (such as AND, OR, NAND, NOR, XOR, Multiplexer, full adder, half adder, etc.) and varying input majority and minority gates (where the number of inputs in the minority and majority gates could vary as odd numbers from 3 and above). The standard cell libraries cells may contain minority and/or majority gates.
    Type: Grant
    Filed: October 15, 2021
    Date of Patent: December 26, 2023
    Assignee: KEPLER COMPUTING INC.
    Inventors: Ikenna Odinaka, Sasikanth Manipatruni, Darshak Doshi, Rajeev Kumar Dokania, Amrita Mathuriya
  • Patent number: 11836433
    Abstract: A system and method for characterizing a memory instance. Characterizing a memory instance includes obtaining a memory instance comprising a plurality of leaf cells. Each of the plurality of leaf cells comprises components. First channel connected components from the components within each of the plurality of leaf cells are determined, and a first super leaf cell is generated by combining a first two or more leaf cells of the plurality of leaf cells based on the first channel connected components. Further, an updated memory instance is generated based on the first super leaf cell, and a timing model is determined for the updated memory instance.
    Type: Grant
    Filed: February 3, 2022
    Date of Patent: December 5, 2023
    Assignee: Synopsys, Inc.
    Inventors: John Edward Barth, Jeffrey C. Herbert, Matthew Christopher Lanahan
  • Patent number: 11821947
    Abstract: A semiconductor device has a cell region including active regions that extend in a first direction and in which are formed components of transistors. The transistors of the cell region are arranged to function as a scan insertion D flip flop (SDFQ). The SDFQ includes a multiplexer serially connected at an internal node to a D flip-flop (FF). The transistors of the multiplexer include data transistors for selecting a data input signal, the data transistors having a first channel configuration with a first channel size, and scan transistors of the multiplexer for selecting a scan input signal, the scan transistors having a second channel configuration with a second channel size. The second channel size is smaller than the first channel size.
    Type: Grant
    Filed: June 20, 2022
    Date of Patent: November 21, 2023
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TSMC NANJING COMPANY, LIMITED
    Inventors: Huaixin Xian, Changlin Huang, Qingchao Meng, Jerry Chang Jui Kao
  • Patent number: 11816408
    Abstract: A computer-aided design (CAD) tool is provided for logic optimization and synthesis. The CAD tool executes a process that involves optimizing power, performance, and area (PPA) of a logic circuit by minimizing a number of CMOS gates, and majority and/or minority gates in the circuit and its depth. The CAD tool implements a methodology of optimizing logic synthesis based on a mix of standard cell libraries (such as AND, OR, NAND, NOR, XOR, Multiplexer, full adder, half adder, etc.) and varying input majority and minority gates (where the number of inputs in the minority and majority gates could vary as odd numbers from 3 and above). The standard cell libraries cells may contain minority and/or majority gates.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: November 14, 2023
    Assignee: KEPLER COMPUTING INC.
    Inventors: Ikenna Odinaka, Sasikanth Manipatruni, Darshak Doshi, Rajeev Kumar Dokania, Amrita Mathuriya
  • Patent number: 11809801
    Abstract: A computer-aided design (CAD) tool is provided for logic optimization and synthesis. The CAD tool executes a process that involves optimizing power, performance, and area (PPA) of a logic circuit by minimizing a number of CMOS gates, and majority and/or minority gates in the circuit and its depth. The CAD tool implements a methodology of optimizing logic synthesis based on a mix of standard cell libraries (such as AND, OR, NAND, NOR, XOR, Multiplexer, full adder, half adder, etc.) and varying input majority and minority gates (where the number of inputs in the minority and majority gates could vary as odd numbers from 3 and above). The standard cell libraries cells may contain minority and/or majority gates.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: November 7, 2023
    Assignee: KEPLER COMPUTING INC.
    Inventors: Ikenna Odinaka, Sasikanth Manipatruni, Darshak Doshi, Rajeev Kumar Dokania, Amrita Mathuriya
  • Patent number: 11790140
    Abstract: This disclosure provides a method to realize a hardware device, in particular a hardware device configured on a FPGA or manufactured as an ASIC, configured to meet maximum performances achievable by a certain algorithm defined by a high-level software code. The method is based on the steps of translating of the high-level software code into a corresponding low-level software code defining low-level operation, for executing the same operations defined by the high-level software code; then on estimating of certain parameters to calculate a peak performance value P and memory transfer performance Pm of the hardware device; finally, on realizing the hardware device with hardware resources having performance within the peak performance value P and memory transfer performance Pm.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: October 17, 2023
    Assignee: HUXELERATE S.R.L.
    Inventors: Marco Siracusa, Marco Rabozzi, Lorenzo Di Tucci, Marco Domenico Santambrogio, Fabio Pizzato
  • Patent number: 11775713
    Abstract: To increase the efficiency of electronic design automation, a register transfer level debug application client entity requests, from a register transfer level source navigator server, combined register transfer level and hardware aspect metadata including debug instrumentation. The register transfer level debug application client entity receives, from the register transfer level source navigator server, the combined register transfer level and hardware aspect metadata including the debug instrumentation. The register transfer level debug application client entity transforms the combined register transfer level and hardware aspect metadata including the debug instrumentation. The register transfer level debug application client entity renders the transformed combined register transfer level and hardware aspect metadata including the debug instrumentation.
    Type: Grant
    Filed: September 28, 2021
    Date of Patent: October 3, 2023
    Assignee: International Business Machines Corporation
    Inventors: Shiladitya Ghosh, Balaji Pulluru, Pradeep Joy, Arun Joseph, Wolfgang Roesner
  • Patent number: 11763060
    Abstract: Techniques for generating one or more non-final layouts for an analog integrated circuit are disclosed. The techniques include generating a non-final layout of an analog integrated circuit based on device specifications, partitioning the non-final layout into a plurality of sub-cells, merging the verified sub-cells to form a merged layout of the analog integrated circuit, and performing quality control checks on the merged layout. Additionally or alternatively, generating the non-final layout can include determining an allowable spacing between adjacent cells of different cell types or inserting one or more filler cells into a filler zone in the non-final layout.
    Type: Grant
    Filed: March 25, 2021
    Date of Patent: September 19, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Tao Yang, Wen-Shen Chou, Yung-Chow Peng, Yung-Hsu Chuang
  • Patent number: 11755810
    Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: September 12, 2023
    Assignee: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
  • Patent number: 11748548
    Abstract: A method of constructing a hierarchical clock tree for an integrated circuit may include constructing a clock distribution network on a first level, pushing the clock distribution network to a second level, implementing partition clock trees in partitions on the second level, and calculating combined timing of the clock distribution network and the partition clock trees on the second level. Implementing the partition clock trees may include constructing the partition dock trees in the partitions on the second level, calculating trial timing for the partition clock trees, calculating target timing constraints for the partition clock trees based on timing of the dock distribution network and the trial timing for the partition dock trees, and adjusting the timing of one or more of the partition clock trees based on the target constraints.
    Type: Grant
    Filed: January 11, 2022
    Date of Patent: September 5, 2023
    Inventors: Hongda Lu, Sridhar Subramaniam, Kok-Hoong Chiu
  • Patent number: 11689352
    Abstract: A method is provided for generating an output from an input according to a secret using a white-box implementation of a cryptographic function having a first operation, a second operation, and a third operation. The method applies the input to a first operation to generate a first intermediate result, applies the first intermediate result to a second operation to generate a second intermediate result, and applies the second intermediate result to a third operation to generate the output, wherein at least two of the first operation, the second operation, and the third operation is implemented by a plurality of interconnected logic elements, the interconnection of the plurality of logic elements being comprised of one of a non-algebraic interconnection of logic elements and an algebraic interconnection of logic elements having obfuscated boundaries between the at least one of the first operation, the second operation and the third operation.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: June 27, 2023
    Assignee: ARRIS Enterprises LLC
    Inventor: Lex Aaron Anderson
  • Patent number: 11675942
    Abstract: A tool is disclosed that includes a discriminant module. The discriminant module finds one configuration, which is selected from many different possible and legal configurations, that is optimal. The optimal configuration is translated into a set of optimized parameters (identified from the library of parameters that the user can select from) and provided to the designer. The designer reviews (and can manually revise or change) the optimized parameters. The optimized parameters are translated into engineering parameters. The engineering parameters are passed, as an input, to the RTL generation module. The RTL generation module produces the RTL description of the hardware function that is optimal and meets the designer's defined requirements.
    Type: Grant
    Filed: March 1, 2022
    Date of Patent: June 13, 2023
    Assignee: ARTERIS, INC.
    Inventors: Federico Angiolini, Khaled Labib
  • Patent number: 11668750
    Abstract: During functional/normal operation of an integrated circuit including multiple independent processing elements, a selected independent processing element is taken offline and the functionality of the selected independent processing element is then tested while the remaining independent processing elements continue functional operation. To minimize voltage drops resulting from current fluctuations produced by the testing of the processing element, clocks used to synchronize operations within each partition of a processing element are staggered. This varies the toggle rate within each partition of the processing element during the testing of the processing core, thereby reducing the resulting voltage drop. This may also improve test quality within an automated test equipment (ATE) environment.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: June 6, 2023
    Assignee: NVIDIA CORPORATION
    Inventors: Sailendra Chadalavada, Venkat Abilash Reddy Nerallapally, Jaison Daniel Kurien, Bonita Bhaskaran, Milind Sonawane, Shantanu Sarangi, Purnabha Majumder
  • Patent number: 11604917
    Abstract: Systems and methods are provided for predicting static voltage (SIR) drop violations in a clock-tree synthesis (CTS) layout before routing is performed on the CTS layout. A static voltage (SIR) drop violation prediction system includes SIR drop violation prediction circuitry. The SIR drop violation prediction circuitry receives CTS data associated with a CTS layout. The SIR drop violation prediction circuitry inspects the CTS layout data associated with the CTS layout, and the CTS layout data may include data associated with a plurality of regions of the CTS layout, which may be inspected on a region-by-region basis. The SIR drop violation prediction circuitry predicts whether one or more SIR drop violations would be present in the CTS layout due to a subsequent routing of the CTS layout.
    Type: Grant
    Filed: August 9, 2021
    Date of Patent: March 14, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Lin Chuang, Henry Lin, Szu-Ju Huang, Yin-An Chen, Amos Hong
  • Patent number: 11550978
    Abstract: A detection unit (231) detects, based on synthesis result data obtained by logic synthesis on design data of a target circuit, a predicted place where a glitch is predicted to occur in the target circuit. An insertion unit (232) inserts a glitch removal circuit in an output side of the predicted place by making a change to at least one of the synthesis result data and the design data.
    Type: Grant
    Filed: April 6, 2021
    Date of Patent: January 10, 2023
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Susumu Hirano
  • Patent number: 11537776
    Abstract: A computer-implemented method of performing voltage rule check in an electronic design automation (EDA) platform is provided in the present invention, including steps of inserting pseudo device with safe operating area (SOA) model setting in a netlist generated by the EDA platform or in a schematic of process design kit (PDK), wherein parameters of the pseudo device and the model are set so that the pseudo device would not affect original circuits in the netlist and the schematic, performing SOA check in the netlist or the schematic through the EDA platform, and examining the warning messages triggered by the pseudo device and the model violating the SOA setting in the SOA check to find out layout sections violating the SOA setting.
    Type: Grant
    Filed: July 13, 2021
    Date of Patent: December 27, 2022
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventors: Chun-Sheng Chen, Yu-Chih Chen
  • Patent number: 11526642
    Abstract: An implementation-quality synthesis process begins with a logical design of an integrated circuit and, through a series of steps, generates a fully synthesized physical design of the integrated circuit. One of the steps is clock synthesis, which generates the clock network for the integrated circuit. In certain embodiments, a method includes the following steps. A reduced clock synthesis process is applied, rather than the implementation-quality clock synthesis process. This generates a clock network for the logical design, which will be referred to as a proxy clock network because it is used as a proxy to estimate power consumption of the fully synthesized clock network. Because the reduced clock synthesis process runs much faster than the implementation-quality clock synthesis process, the front end designer may use these power estimates in the front end design process, including to explore different design variations in the logical design.
    Type: Grant
    Filed: March 23, 2021
    Date of Patent: December 13, 2022
    Assignee: Synopsys, Inc.
    Inventors: Min Pan, Feng Sheng, Anand Kumar Rajaram
  • Patent number: 11460494
    Abstract: A method of designing a filter to meet a set of specifications. The set of specifications is received, and a filter design is established. Analysis of the filter design is performed by: determining a part admittance matrix; determining a circuit admittance matrix based on the part admittance matrices; reducing interior nodes of the circuit admittance matrix; reducing algebraic nodes to transform the circuit admittance matrix into a Green's Function; evaluating the Green's Function to determine a circuit exterior node admittance matrix; and transforming the circuit exterior node admittance matrix to a circuit scattering matrix. The circuit scattering matrix is compared to the set of specifications to determine whether the filter design is satisfactory. When a determination is made that the design is not satisfactory, the filter design is modified and the process is repeated. When a determination is made that the design is satisfactory, a filter design description is output.
    Type: Grant
    Filed: November 30, 2020
    Date of Patent: October 4, 2022
    Assignee: RESONANT, INC.
    Inventor: Richard N. Silver
  • Patent number: 11423189
    Abstract: A system for autonomous generative design in a system having a digital twin graph a requirements distillation tool for receiving requirements documents of a system in human-readable format and importing useful information contained in the requirements documents into the digital twin graph, and a synthesis and analysis tool in communication with the digital twin graph, wherein the synthesis and analysis tool generates a set of design alternatives based on the captured interactions of the user with the design tool and the imported useful information from the requirements documents. The system may include includes a design tool with an observer for capturing interactions of a user with the design tool, In addition to the observer, an insighter in communication with the design tool and with the digital twin graph receives design alternatives from the digital twin graph and present the receive design alternatives to a user via design tool.
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: August 23, 2022
    Assignee: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Livio Dalloro, Edward Slavin, III, Sanjeev Srivastava, Lucia Mirabella, Suraj Ravi Musuvathy, Arquimedes Martinez Canedo, Erhan Arisoy
  • Patent number: 11409939
    Abstract: Example test generation systems and methods are described. In one implementation, a hardware test suite generator includes a script reader that receives a test definition script and parses the test definition script. A test generator receives the parsed test definition script from the script reader and creates a test suite. A template reader receives a test definition template and parses the test definition template. A code generator receives the parsed test definition script from the script reader and receives the parsed test definition template from the template reader.
    Type: Grant
    Filed: April 6, 2020
    Date of Patent: August 9, 2022
    Assignee: VAXEL Inc.
    Inventor: Jun Takara
  • Patent number: 11379642
    Abstract: Described is a method comprising a processing, an establishing, and/or a determining. In the processing, an inputted capabilities list including one or more hardware design capabilities may be processed. In the establishing, one or more candidate components for the one or more hardware design capabilities may be established. In the determining, a set of unique candidate netlists capable of satisfying the one or more hardware design capabilities may be determined, the set of unique candidate netlists being based upon the set of candidate components.
    Type: Grant
    Filed: October 2, 2020
    Date of Patent: July 5, 2022
    Assignee: Shenzhen Chipuller Chip Technology Co., LTD
    Inventors: Danielle Morton, Rick Yan
  • Patent number: 11372442
    Abstract: In the present invention, control feasibility in a vehicle control system architecture is efficiently determined by performing determination based on control feasibility in a physical element based on a converted parameter when a logical architecture is arranged in a physical architecture. The present invention includes: an arrangement unit 101 that arranges a logical architecture 601, which includes a linkage of each of logical functions and an execution time constraint of the linkage, in a physical architecture 300; a delay time calculation unit 104 that calculates a processing delay time based on a converted parameter when the logical architecture 601 is arranged in the physical architecture 300; and a verification unit 102 that verifies whether a total of the processing delay time satisfies the execution time constraint.
    Type: Grant
    Filed: April 12, 2018
    Date of Patent: June 28, 2022
    Assignee: HITACHI ASTEMO, LTD.
    Inventors: Satoshi Otsuka, Kohei Sakurai, Fumio Narisawa
  • Patent number: 11295286
    Abstract: Example implementations relate to a retail point of sale (RPOS) device and cloud service. An example system can include an RPOS agent controller and a cloud service controller communicatively coupled to one another. The cloud service controller can provide a notification of a state of the RPOS device.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: April 5, 2022
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Binh T Truong, Quoc P Pham, Amit Kumar Singh, David Gosman, Suzanne Broussard
  • Patent number: 11281827
    Abstract: A tool is disclosed that includes a discriminant module. The discriminant module finds one configuration, which is selected from many different possible and legal configurations, that is optimal. The optimal configuration is translated into a set of optimized parameters (identified from the library of parameters that the user can select from) and provided to the designer. The designer reviews (and can manually revise or change) the optimized parameters. The optimized parameters are translated into engineering parameters. The engineering parameters are passed, as an input, to the RTL generation module. The RTL generation module produces the RTL description of the hardware function that is optimal and meets the designer's defined requirements.
    Type: Grant
    Filed: December 26, 2020
    Date of Patent: March 22, 2022
    Assignee: ARTERIS, INC.
    Inventors: Khaled Labib, Federico Angiolini
  • Patent number: 11269762
    Abstract: Disclosed herein are techniques for analyzing hardware change impacts based on at least one functional line-of-code behavior and relation model. Techniques include identifying a new hardware component associated with a system; accessing a first line-of-code behavior and relation model representing execution of functions using the new hardware component; accessing a second line-of-code behavior and relation model representing execution of functions on a previous hardware component of the system; performing a functional differential comparison of the first line-of-code behavior and relation model to the second line-of-code behavior and relation model; determining, based on the functional differential comparison, a status of functional equivalence between the new hardware component and the previous hardware component; and generating, based on the determined difference, a report identifying the status of functional equivalence.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: March 8, 2022
    Assignee: Aurora Labs Ltd.
    Inventors: Zohar Fox, Carmit Sahar
  • Patent number: 11263379
    Abstract: A method of constructing a hierarchical clock tree for an integrated circuit may include constructing a clock distribution network on a first level, pushing the clock distribution network to a second level, implementing partition clock trees in partitions on the second level, and calculating combined timing of the clock distribution network and the partition clock trees on the second level. Implementing the partition clock trees may include constructing the partition clock trees in the partitions on the second level, calculating trial timing for the partition clock trees, calculating target timing constraints for the partition clock trees based on timing of the clock distribution network and the trial timing for the partition clock trees, and adjusting the timing of one or more of the partition clock trees based on the target constraints.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: March 1, 2022
    Inventors: Hongda Lu, Sridhar Subramaniam, Kok-Hoong Chiu
  • Patent number: 11232245
    Abstract: A circuit generation device that implements a standard function and a degeneration function has a first operation synthesis function of generating a circuit description based on a system operation description, there are provided a degenerate parameter extraction function of extracting a degenerate parameter from the operation description, a degeneration parameter change function of changing a value of the degeneration parameter, a second operation synthesis function of generating a degeneration circuit description based on the operation description and the degeneration parameter value, and a determination function of determining whether the performance of the degeneration circuit description satisfies a constraint condition based on the circuit description.
    Type: Grant
    Filed: March 5, 2018
    Date of Patent: January 25, 2022
    Assignee: HITACHI, LTD.
    Inventors: Teruaki Sakata, Teppei Hirotsu
  • Patent number: 11227084
    Abstract: A multi-bit standard cell embodied on a non-transitory computer-readable medium includes: a first logic cell with a first logic cell height measured from a first lower boundary to a first upper boundary of the first logic cell; and a second logic cell with a second logic cell height measured from a second lower boundary to a second upper boundary of the second logic cell, the second logic cell height different from the first logic cell height, and the second upper boundary attached to the first lower boundary. The first logic cell is arranged to perform a first logical function, the second logic cell is arranged to perform a second logical function, and the first logical function is the same as the second logical function.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: January 18, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Jerry Chang Jui Kao, Hui-Zhong Zhuang, Yung-Chen Chien, Ting-Wei Chiang, Chih-Wei Chang, Xiangdong Chen
  • Patent number: 11205032
    Abstract: A method includes determining a cell loading of a cell in an integrated circuit (IC) layout diagram. Based on the determined cell loading, a power parameter associated with the cell is determined. In response to the determined power parameter exceeding a design criterion, at least one of altering a placement of the cell in the IC layout diagram or modifying a power delivery path to the cell is performed. At least one of the determining the cell loading, the determining the power parameter, the altering the placement of the cell, or the modifying the power delivery path is executed by a processor.
    Type: Grant
    Filed: October 3, 2019
    Date of Patent: December 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chin-Shen Lin, Chung-Hsing Wang, Kuo-Nan Yang, Hiranmay Biswas
  • Patent number: 11151301
    Abstract: Systems and methods are disclosed for generation and testing of integrated circuit designs with point-to-point connections between modules. These may allow for the rapid design and testing (e.g. silicon testing) of processors and SoCs. For example, type parameterization may be used to generate point-to-point connections in a flexible manner. For example, a point-to-point connection between the source module and the sink module that includes one or more named wires specified by bundle type may be automatically generated based on using the bundle type as a type parameterization input. For example, these system and methods may be used to rapidly connect a custom processor design, including one or more IP cores, to a standard input/output shell for a SoC design to facilitate rapid silicon testing of the custom processor design.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: October 19, 2021
    Assignee: SiFive, Inc.
    Inventors: Megan Wachs, Henry Cook, Wesley Waylon Terpstra
  • Patent number: 11132491
    Abstract: A DRC tool optimized for analyzing early-stage (“dirty”) IC layout designs by performing one or more of (a) automatically selectively focusing DRC processing to selected regions (i.e., layers and/or cells) of a dirty IC layout design that are most likely to provide useful error information to a user, (b) automatically selectively ordering and/or limiting rule checks performed during DRC processing to provide the user with a manageable amount of error data in a predetermined reasonable amount of time, and (c) automatically providing error data in a graphical manner using a contrasting dot to indicate the location of each rule violation, whereby relevant problem areas of the dirty IC layout design are easily identified for correction by a human user, and non-relevant areas (e.g., missing block regions) can be efficiently identified and ignored, thereby facilitating efficient modification of the IC layout design.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: September 28, 2021
    Assignee: Synopsys, Inc.
    Inventor: John R. Studders
  • Patent number: 11126770
    Abstract: A design method of a semiconductor integrated circuit according to embodiments includes: creating pseudo-cell information for cells included in cell library information, the pseudo-cell information reflecting the degree of difficulty of pin access that connects wires to pins set in the cells; and using cells with a low difficulty of pin access with reference to the pseudo-cell information in timing optimization.
    Type: Grant
    Filed: January 31, 2020
    Date of Patent: September 21, 2021
    Assignee: Kioxia Corporation
    Inventor: Shintaro Fujiwara
  • Patent number: 11126768
    Abstract: In a method of designing a semiconductor device, a first sub-block included in the semiconductor device is designed by a first EDA tool. A second sub-block included in the semiconductor device is designed by a second EDA tool different from the first EDA tool. A first sub-block model corresponding to the first sub-block and a second sub-block model corresponding to the second sub-block are generated by transforming logical information and physical information associated with one of a result of designing the first sub-block or a result of designing the second sub-block. The first and the second sub-block model have a same format. An integrated physical design for the semiconductor device is obtained by combining the first and the second sub-block based on the first and the second sub-block model. The first and the second EDA tool are configured to design different physical structures for a same logical block.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: September 21, 2021
    Inventors: Jungsu An, Daehyung Myung
  • Patent number: 11119798
    Abstract: A method of generating compiled intermediate code files adjusted to apply execution control flow verification comprising receiving intermediate code file(s) generated by a compiler which comprise a plurality of routines and adjusting the intermediate code file(s) prior to generating a respective executable file for execution by one or more processors. The adjustment comprising analyzing the intermediate code file(s) to identify valid execution path(s) describing order of execution of preceding routines executed prior to execution of each critical routine, adding registration code segment(s) configured to register execution of each routine in a runtime execution sequence, adding flow validation code segment(s) configured to verify the runtime execution sequence against the valid execution path(s) before invoking the critical routine(s) and outputting the adjusted intermediate code file(s).
    Type: Grant
    Filed: October 7, 2019
    Date of Patent: September 14, 2021
    Assignee: Sternum Ltd.
    Inventors: Natali Tshouva, Lian Granot, Arik Farber, Tal Granot
  • Patent number: 11120183
    Abstract: A computer program product, including a non-transitory, computer-readable medium containing instructions therein which, when executed by at least one processor, cause the at least one processor to perform a performance analysis of a network of interconnected nodes, the nodes configured to perform corresponding logic functions. The performance analysis includes, for a pipeline node in the network, calculating a pre-charging finish time of the pipeline node based on an evaluation finish time of a fanout node of the pipeline node and an acknowledge output time parameter of the fanout node. The performance analysis further includes, for the pipeline node in the network, calculating a cycle time of the pipeline node based on the calculated pre-charging finish time and an evaluation finish time of a fanin node of the pipeline node.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: September 14, 2021
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., NATIONAL TAIWAN UNIVERSITY
    Inventors: Chi-Chuan Chuang, Yi-Hsiang Lai, Jie-Hong Chiang
  • Patent number: 11087066
    Abstract: Systems and methods are provided for predicting static voltage (SIR) drop violations in a clock-tree synthesis (CTS) layout before routing is performed on the CTS layout. A static voltage (SIR) drop violation prediction system includes SIR drop violation prediction circuitry. The SIR drop violation prediction circuitry receives CTS data associated with a CTS layout. The SIR drop violation prediction circuitry inspects the CTS layout data associated with the CTS layout, and the CTS layout data may include data associated with a plurality of regions of the CTS layout, which may be inspected on a region-by-region basis. The SIR drop violation prediction circuitry predicts whether one or more SIR drop violations would be present in the CTS layout due to a subsequent routing of the CTS layout.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: August 10, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Lin Chuang, Henry Lin, Szu-Ju Huang, Yin-An Chen, Amos Hong
  • Patent number: 11074382
    Abstract: Techniques and a system for quantum computing device modeling and design are provided. In one example, a system includes a modeling component and a simulation component. The modeling component models a quantum device element of a quantum computing device as an electromagnetic circuit element to generate electromagnetic circuit data for the quantum computing device. The simulation component simulates the quantum computing device using the electromagnetic circuit data to generate response function data indicative of a response function for the quantum computing device. Additionally or alternatively, a Hamiltonian is constructed based on the response function.
    Type: Grant
    Filed: January 30, 2018
    Date of Patent: July 27, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hanhee Paik, Firat Solgun, Salvatore Bernardo Olivadese, Martin O. Sandberg, Jay M. Gambetta
  • Patent number: 11016742
    Abstract: Systems and methods for dynamically sizing inter-kernel communication channels implemented on an integrated circuit (IC) are provided. Implementation characteristics of the channels, predication, and kernel scheduling imbalances may factor into properly sizing the channels for self-synchronization, resulting in optimized steady-state throughput.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: May 25, 2021
    Assignee: Altera Corporation
    Inventors: Alan Baker, Andrew Chaang Ling, Andrei Mihai Hagiescu Miriste
  • Patent number: 11012075
    Abstract: An electronic system and an operation method thereof are disclosed. A method of an electronic system including a field programmable gate array (FPGA) includes: synthesizing, by processing circuitry, code of a high level language into code of a hardware description language; designing, by the processing circuitry, a circuit of an intellectual property (IP) block included in the field programmable gate array according to the code of the hardware description language; and generating, by the processing circuitry, a database containing reference assembly code corresponding to the code of the high level language and information about a circuit configuration of the intellectual property block.
    Type: Grant
    Filed: February 27, 2020
    Date of Patent: May 18, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyungdal Kwon, Seungwook Lee, Youngnam Hwang
  • Patent number: 11010519
    Abstract: A Register Transfer Level (RTL) representation is recovered from a netlist representing an integrated circuit (IC). The netlist is converted to a graph comprising nodes belonging to a set of node types and edges connecting the nodes. The set of node types includes an instance node type representing an electronic component and a wire node type representing signal transfer between components. The graph is converted to a standardized graph by replacing subgraphs of the graph with standardized subgraphs. An RTL representation of the standardized graph is generated by operations including building signal declarations in a hardware description language (HDL) from the wire nodes of the standardized graph and building signal assignments in the HDL from instance nodes of the standardized graph.
    Type: Grant
    Filed: June 10, 2020
    Date of Patent: May 18, 2021
    Assignee: BATTELLE MEMORIAL INSTITUTE
    Inventors: Adam G. Kimura, Andrew S. Elliott, Daniel A. Perkins
  • Patent number: 10997348
    Abstract: A method of generating an IC layout diagram includes positioning one or more cells in an IC layout diagram and overlapping the one or more cells with a first metal layer cut region based on a first metal layer cut region alignment pattern. The first metal layer cut region alignment pattern includes a pattern pitch equal to a height of the one or more cells.
    Type: Grant
    Filed: September 24, 2019
    Date of Patent: May 4, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Jung-Chan Yang, Fong-Yuan Chang, Li-Chun Tien, Ting Yu Chen
  • Patent number: 10902176
    Abstract: A computer implemented method for validating a design is presented. The method includes generating, using the computer, a graph non-decomposable to a colored graph representative of the design, when the computer is invoked to validate the design. The method further includes identifying, using the computer, at least one guidance to at least one conflict in a mask layout associated with the design, the conflict causing the graph to be non-decomposable.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: January 26, 2021
    Assignee: Synopsys, Inc.
    Inventors: Erdem Cilingir, Srinivasa R Arikati, Weiping Fang, Marco Hug
  • Patent number: 10896476
    Abstract: Methods and example implementations described herein are generally directed to repository of integration description of hardware intellectual property (IP) for NoC construction and SoC integration. An aspect of the present disclosure relates to a method for managing a repository of hardware intellectual property (IP) for Network-on-Chip (NoC)/System-on-Chip (SoC) construction. The method includes the steps of storing one or more integration descriptions of the hardware IP in the repository, selecting at least one integration description as a parsed selection from said one or more integration descriptions of the hardware IP for incorporation in the NoC/SoC, and generating the NoC/SoC at least from the parsed selection.
    Type: Grant
    Filed: August 31, 2018
    Date of Patent: January 19, 2021
    Assignee: NetSpeed Systems, Inc.
    Inventors: Nishant Rao, Sailesh Kumar, Pier Giorgio Raponi
  • Patent number: 10896278
    Abstract: An information processing apparatus includes a processor configured to accept feature information on a specification of a target circuit to be designed. The processor is configured to refer to first correspondence information in which a plurality of parameter values are associated with respective index values for each piece of feature information on specifications of respective circuits to be configured in an integrated circuit. The processor is configured to calculate, for each of a plurality of combinations of parameter values related to the accepted feature information, a sum of the index values associated with respective parameter values included in the relevant combination of parameter values. The processor is configured to select one or more combinations of parameter values from among the plurality of combinations of parameter values on basis of the calculated sums. The processor is configured to output the selected combinations of parameter values.
    Type: Grant
    Filed: October 20, 2017
    Date of Patent: January 19, 2021
    Assignee: FUJITSU LIMITED
    Inventors: Michitaka Hashimoto, Ryo Mizutani