Logic Circuit Synthesis (mapping Logic) Patents (Class 716/104)
  • Patent number: 9268886
    Abstract: Recycling energy in a clock distribution network is provided. A method includes creating a resonant clocking circuit including a clock grid. The method further includes providing resonant structures distributed in the clock grid. The method further includes providing switches that control the resonant structures to switch between a non-resonant mode and a resonant mode. The method further includes determining a switch size that minimizes power consumption of the resonant clocking circuit by iteratively increasing sizes of the switches and, for each iterative increase in size, determining power consumed by the resonant clocking circuit.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: February 23, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jason D. Hibbeler, William R. Reohr, Phillip J. Restle
  • Patent number: 9251303
    Abstract: A method is disclosed for use in design of a system, the system to include a plurality of sources contributing to a variable system effect. The method includes determining a plurality of functional units to form the system, obtaining a plurality of constant functional unit source informations, determining at least one variable quantity, associating each functional unit with one of the at least one variable quantity, obtaining variable functional unit source information by combining the constant functional unit source information with the variable quantity associated with the functional unit, and deriving the variable system effect based on combining the variable functional unit source informations. Further a device for use in design of a system is disclosed and also a tangible computer-readable medium storing instruction code thereon, that when executed causes one or more processors to perform steps for design of a system.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: February 2, 2016
    Assignee: Infineon Technologies AG
    Inventor: Thomas Steinecke
  • Patent number: 9235668
    Abstract: A computer implemented method for calculating a charge density q1 of a first gate of a double gate transistor comprising a thin body with a first and a second gate interface, the method including determining, using a physical processor, an initial estimate q1,init of the charge density of the first gate; performing, using the physical processor, at least two basic corrections of the initial estimate based on a Taylor development of a function fzero(q1) able to be nullified by a correct value of the charge density q1 of the first gate.
    Type: Grant
    Filed: July 16, 2014
    Date of Patent: January 12, 2016
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Thierry Poiroux, Marie-Anne Jaud, Sebastien Martinie, Olivier Rozeau
  • Patent number: 9223924
    Abstract: A portion of a layout of a single layer of an integrated circuit is to be multi-patterned. A method for layout decomposition includes determining spacings between adjacent pairs of patterns, and generating a conflict graph having a plurality of sub-graphs, in which a respective vertex corresponds to each respective sub-graph. The patterns within each respective sub-graph are divided into at least a first group and a second group, each of which is assigned to be patterned on the single layer by a respectively different one of a first mask or a second mask. The method further include determining, in a processor, a count of color-rule violations in the plurality of patterns within each respective sub-graph based on a predetermined set of criteria; and within each sub-graph, assigning the first group of patterns in the sub-graph to the one of the first mask or the second mask which results in a smaller count of color-rule violations.
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: December 29, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Hsiung Hsu, Chin-Chang Hsu, Yuan-Te Hou, Godina Ho, Wen-Hao Chen, Wen-Ju Yang
  • Patent number: 9218444
    Abstract: Embodiments of the invention describe a Boolean circuit having a voter circuit and a plurality of approximate circuits each based, at least in part, on a reference circuit. The approximate circuits are each to generate one or more output signals based on values of received input signals. The voter circuit is to receive the one or more output signals generated by each of the approximate circuits, and is to output one or more signals corresponding to a majority value of the received signals. At least some of the approximate circuits are to generate an output value different than the reference circuit for one or more input signal values; however, for each possible input signal value, the majority values of the one or more output signals generated by the approximate circuits and received by the voter circuit correspond to output signal result values of the reference circuit.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: December 22, 2015
    Assignee: Sandia Corporaton
    Inventors: Jason R. Hamlet, Jackson R. Mayo
  • Patent number: 9218443
    Abstract: OpenCL program compilation may include generating, using a processor, a register transfer level (RTL) description of a first kernel of a heterogeneous, multiprocessor design and integrating the RTL description of the first kernel with a base platform circuit design. The base platform circuit design provides a static interface within a programmable integrated circuit to a host of the heterogeneous, multiprocessor design. A first configuration bitstream may be generated from the RTL description of the first kernel using the processor. The first configuration bitstream specifies a hardware implementation of the first kernel and supporting data for the configuration bitstream. The first configuration bitstream and the supporting data may be included within a binary container.
    Type: Grant
    Filed: November 12, 2014
    Date of Patent: December 22, 2015
    Assignee: XILINX, INC.
    Inventors: Henry E. Styles, Jeffrey M. Fifield, Ralph D. Wittig, Philip B. James-Roxby, Sonal Santan, Devadas Varma, Fernando J. Martinez Vallina, Sheng Zhou, Charles Kwah-Wah Lo
  • Patent number: 9215419
    Abstract: Embodiments of the present invention disclose an integrated set-top box for recording a voice communication and/or a voicemail. In one embodiment, the integrated set-top box automatically detects communications associated with a monitored communication line and records and stores the voice communications in a data storage unit of the integrated set-top box. In another embodiment, the integrated set-top box may provide voicemail capabilities in addition to other features.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: December 15, 2015
    Assignee: CenturyLink Intellectual Property LLC
    Inventors: David Rondeau, David Emerson, Gary Lafreniere, Mike Goergen
  • Patent number: 9208274
    Abstract: A method of designing an acoustic microwave filter in accordance with frequency response requirements.
    Type: Grant
    Filed: March 23, 2015
    Date of Patent: December 8, 2015
    Assignee: RESONANT INC.
    Inventors: Patrick J. Turner, Richard N. Silver, Balam Quitze Andres Willemsen Cortes, Kurt F. Raihn, Neal O. Fenzi, Robert B. Hammond
  • Patent number: 9208257
    Abstract: Methods, machines, and stored instructions are provided for partitioning a graph of nodes into clusters of nodes by iteratively excluding edges in the graph. For each node of at least a subset of nodes in the graph, a graph partitioning module determines whether to exclude edges for the node and, if so, selects for exclusion edge(s) to at least a subset of the node's neighbor(s). The module selects edge(s) to the node's neighbor(s) for exclusion based at least in part on a degree of overlap between the node's neighbor(s) and neighbor(s) of the node's neighbor(s). For any subset(s) that are yet not sufficiently partitioned into clusters, the module repeats the step of determining whether to exclude edges and, if so, selecting nodes for exclusion, and determining whether or not the nodes are sufficiently partitioned. Subset(s) of nodes that are already sufficiently partitioned may be skipped during the repeated steps.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: December 8, 2015
    Assignee: Oracle International Corporation
    Inventors: Boriana Lubomirova Milenova, Marcos M Campos
  • Patent number: 9189583
    Abstract: Systems and techniques are described for performing buffer tree synthesis. Some embodiments create a lookup table based on information contained in a cell library. The lookup table is then used during buffer tree synthesis.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: November 17, 2015
    Assignee: SYNOPSYS, INC.
    Inventors: Sanjay Dhar, Kok Kiong Lee, Sanjay V. Kumar, Prashant Saxena, Robert L. Walker
  • Patent number: 9183329
    Abstract: A virtual platform simulates behavior of a modular circuit based on a circuit design including both high-level and low-level models of circuit modules. A compiler that converts the high-level and low-level models into executable models prior to an initial simulation also generates a separate “replay engine” corresponding to each low-level module for use during subsequent replay simulations. During the initial simulation, the virtual platform simulates circuit behavior by concurrently executing the high-level and low-level executable models and recording data representing behavior of output signals of the low-level design modules modeled by the executable models. To speed up subsequent replays of the simulation, the virtual platform executes one or more of the replay engines in lieu of executing their corresponding low-level executable models.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: November 10, 2015
    Assignee: Synopsys, Inc.
    Inventors: Nan-Ting Yeh, Wenchu Cheng, Kuen-Yang Tsai, Chia-Ling Ho
  • Patent number: 9171122
    Abstract: Techniques and systems are described for improving the efficiency of timing calculations in numerical sequential cell sizing and for improving the efficiency of incremental slack margin propagation. Some embodiments cache timing-related information associated with a source driver that drives an input of a sequential cell that is being sized, and/or timing-related information for each output of the sequential cell that is being sized. The cached timing-related information for the source driver can be reused when sizing a different sequential cell. The cached timing-related information for the outputs of the sequential cell can be reused when evaluating alternatives for replacing the sequential cell. Some embodiments incrementally propagate slack margins in a lazy fashion (i.e., only when it is necessary to do so for correctness or accuracy reasons) while sizing gates in the circuit design in a reverse-levelized processing order.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: October 27, 2015
    Assignee: SYNOPSYS, INC.
    Inventors: Amir H. Mottaez, Mahesh A. Iyer
  • Patent number: 9152740
    Abstract: The model builder may generate a model object, and initiate the solver to determine whether the model object has constraints that effect the model object. The solver can generate solution data these constraints. The solver may pass any solution data it obtains to the solution display generator, so that the user can view the solution data while the user is building the model with the model builder.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: October 6, 2015
    Assignee: MSC.Software Corporation
    Inventors: Douglas Brennan, Douglas James Neill, Herbert Dennis Hunt
  • Patent number: 9152464
    Abstract: Methods, apparatus and computer program products for allocating a number of workers to a worker pool in a multiprogrammable computer are provided, to thereby tune server multiprogramming level. The method includes the steps of monitoring throughput in relation to a workload concurrency level and dynamically tuning a multiprogramming level based upon the monitoring. The dynamic tuning includes adjusting with a first adjustment for a first interval and with a second adjustment for a second interval, wherein the second adjustment utilizes data stored from the first adjustment.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: October 6, 2015
    Assignee: IANYWHERE SOLUTIONS, INC.
    Inventor: Mohammed Abouzour
  • Patent number: 9135387
    Abstract: There is provided a data processing apparatus (1) including a logic circuit (10) that is reconfigurable in each cycle and a library (2) that stores hardware control information (20). The hardware control information (20) includes a plurality of pieces of cycle-based mapping information (21) for individually mapping a plurality of cycle-based circuits, which each realize a function in each cycle for executing an application, onto the logic circuit (10) and configuration selection information (22) for selecting at least one of the plurality of pieces of cycle-based mapping information according to an execution state of the application. The data processing apparatus (1) includes a control unit (11) that reconfigures at least part of the logic region (10) using at least one of the plurality of pieces of cycle-based mapping information (21) according to a request in each cycle based on the configuration selection information (22).
    Type: Grant
    Filed: December 3, 2013
    Date of Patent: September 15, 2015
    Assignee: FUJI XEROX CO., LTD.
    Inventor: Hiroki Honda
  • Patent number: 9135185
    Abstract: A die-stacked memory device incorporates a data translation controller at one or more logic dies of the device to provide data translation services for data to be stored at, or retrieved from, the die-stacked memory device. The data translation operations implemented by the data translation controller can include compression/decompression operations, encryption/decryption operations, format translations, wear-leveling translations, data ordering operations, and the like. Due to the tight integration of the logic dies and the memory dies, the data translation controller can perform data translation operations with higher bandwidth and lower latency and power consumption compared to operations performed by devices external to the die-stacked memory device.
    Type: Grant
    Filed: December 23, 2012
    Date of Patent: September 15, 2015
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Gabriel H. Loh, Bradford M. Beckmann, James M. O'Connor, Michael Ignatowski, Michael J. Schulte, Lisa R. Hsu, Nuwan S. Jayasena
  • Patent number: 9122815
    Abstract: In one embodiment, the present invention includes method for entering a credit initialization state of an agent state machine of an agent coupled to a fabric to initialize credits in a transaction credit tracker of the fabric. This tracker tracks credits for transaction queues of a first channel of the agent for a given transaction type. The agent may then assert a credit initialization signal to cause credits to be stored in the transaction credit tracker corresponding to the number of the transaction queues of the first channel of the agent for the first transaction type. Other embodiments are described and claimed.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: September 1, 2015
    Assignee: Intel Corporation
    Inventors: Sridhar Lakshmanamurthy, Robert P. Adler, Mikal C. Hunsaker, Michael T. Klinglesmith, Blaise Fanning, Eran Tamari, Joseph Murray, Rohit R. Verma
  • Patent number: 9122891
    Abstract: A functional timing sensor includes a setup time violation detecting circuit, a hold time violation detecting circuit, and an interface from the setup time violation detecting circuit and the hold time violation detecting circuit. The interface provides a notification upon detection of a violation by either the setup time violation detecting circuit or the hold time violation detecting circuit.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: September 1, 2015
    Assignee: MICROSOFT TECHNOLOGY LICENSING, LLC
    Inventor: J. Scott Fuller
  • Patent number: 9110653
    Abstract: Product data management systems, methods, and mediums. A method includes receiving a functional model, and identifying a plurality of elements of the functional model. Each element corresponds to one or more machine operations. The method includes identifying concurrencies between elements to determine at least one set of elements. The method includes creating an execution thread for each of the sets of elements. The method can include generating a rule-based programmable logic controller (PLC) program corresponding to the functional model, based on the execution threads.
    Type: Grant
    Filed: February 2, 2012
    Date of Patent: August 18, 2015
    Assignee: Siemens Product Lifecycle Management Software Inc.
    Inventors: Arquimedes Martinez Canedo, Lingyun Lu
  • Patent number: 9100006
    Abstract: An apparatus comprises a first integrated circuit (IC) die, and a second IC die stacked on the first IC die. The first and second IC dies are operational independently of each other. Each respective one of the first and second IC dies has: at least one circuit for performing a function; an operation block coupled to selectively disconnect the circuit from power; and an output enable block coupled to selectively connect the circuit to at least one data bus.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: August 4, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shyh-An Chi
  • Patent number: 9092591
    Abstract: Mechanisms are provided for pruning a layer trait library for use in wire routing in an integrated circuit design process. The mechanisms receive a plurality of wirecodes and a metal stack definition. The mechanisms generate a verbose layer trait library based on all possible combinations of the wirecodes and layers of the metal stack definition. The mechanisms generate a pruned layer trait library by pruning the verbose layer trait library to remove redundant layer traits from the verbose layer trait library. In addition, the mechanisms store the pruned layer trait library for performing wire routing of an integrated circuit design.
    Type: Grant
    Filed: April 10, 2014
    Date of Patent: July 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, Robert M. Averill, III, Eric J. Fluhr, Zhuo Li, Tuhin Mahmud, Jose L. P. Neves, Stephen T. Quay, Chin Ngai Sze, Yaoguang Wei
  • Patent number: 9082476
    Abstract: An apparatus and method are disclosed to implement digital signal processing operations involving multiply-accumulate (MAC) operations, by using a modified balanced data structure and accessing architecture. This architecture maintains a data-path connecting one address generation unit, one register file and one MAC execution unit. The register file has a hierarchical grouping organization of individual registers, which reduces bubble cycles caused by memory misalignments. This architecture uses parallel execution and can achieve two or more MAC operations per cycle.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: July 14, 2015
    Assignees: STMICROELECTRONICS (BEIJING) R&D COMPANY LTD., STMICROELECTRONICS S.R.L.
    Inventors: PengFei Zhu, HongXia Sun, YongQiang Wu, Elio Guidetti
  • Patent number: 9053283
    Abstract: Methods for verifying the layout for standard cells using finFET standard cell structures with polysilicon on cell edges. Standard cells are defined using finFET transistors. Polysilicon dummy structures are formed on the edges of the active areas of the standard cells. Where two standard cells abut a single polysilicon dummy structure is formed. In a design flow, a pre-layout netlist schematic for the standard cells is formed that does not include devices corresponding to the polysilicon dummy structures. After an automated place and route process forms a device layout using the standard cells, a post layout netlist schematic is extracted including MOS devices corresponding to the polysilicon dummy structures. A layout versus schematic comparison is then performed, but during the comparison MOS devices corresponding to the polysilicon dummy structures are filtered from the post-layout netlist and are not compared. Additional methods are disclosed.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: June 9, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih Hsin Chen, Kai-Ming Liu
  • Patent number: 9043737
    Abstract: A technique for determining whether an integrated circuit design is susceptible to glitches includes identifying storage elements in an original register-transfer level (RTL) file of the integrated circuit design and identifying clock signals for each of the storage elements in the original RTL file. The technique also includes generating respective assertions for each of the identified clock signals and identifying potential glitchy logic in respective clock paths for each of the identified clock signals. Finally, the technique includes inserting, at the potential glitchy logic, glitches in each of the respective clock paths of the original RTL file to provide a modified RTL file and executing an RTL simulation using the modified RTL file and the respective assertions.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: May 26, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jayanta Bahadra, Xiushan Feng, Xiao Sun
  • Patent number: 9043735
    Abstract: In one embodiment of the invention, an integrated circuit (IC) design tool is provided for synthesizing logic, including one or more software modules to synthesize a gate-level netlist of a squarer functional block. The software modules include a bitvector generator, a bitvector reducer, and a hybrid multibit adder generator. The bitvector generator multiplies bits of a vector together to generate partial products for a plurality of bitvectors and then optimizes a plurality of least significant bitvectors. The bitvector reducer reduces the partial products in the bitvectors of the squarer functional block down to a pair of final vectors. The hybrid multibit adder generator generates a hybrid multibit adder including a first adder and a second adder coupled together by a carry bit with bit widths being responsive to a dividerbit. The hybrid multibit adder adds the pair of final vectors together to generate a final result for the squarer functional block.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: May 26, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sabyasachi Das, Jean-Charles Giomi
  • Publication number: 20150143307
    Abstract: The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.
    Type: Application
    Filed: March 4, 2014
    Publication date: May 21, 2015
    Applicant: ATRENTA, INC.
    Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Patent number: 9037447
    Abstract: The systems and methods of the present disclosure calibrate impedance loss model parameters associated with an electrosurgical system having no external cabling or having external cabling with a fixed or known reactance, and obtain accurate electrical measurements of a tissue site by compensating for impedance losses associated with the transmission line of an electrosurgical device using the calibrated impedance loss model parameters. A computer system stores voltage and current sensor data for a range of different test loads and calculates sensed impedance values for each test load. The computer system then predicts a phase value for each load using each respective load impedance value. The computer system back calculates impedance loss model parameters including a source impedance parameter and a leakage impedance parameter based upon the voltage and current sensor data, the predicted phase values, and the impedance values of the test loads.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: May 19, 2015
    Assignee: Covidien LP
    Inventor: Donald W. Heckel
  • Patent number: 9038006
    Abstract: A mechanism for generating gate-level activity data for use in clock gating efficiency analysis of an integrated circuit (IC) design is provided. Generating the gate-level activity data includes generating a signal behaviour description for inter-register signals, generating a gate-level netlist for the IC design, generating gate-level stimuli based at least partly on the generated signal behaviour description, and performing gate-level simulation using the generated gate-level stimuli to generate gate-level activity data for the IC design. In one embodiment, generating the signal behaviour description includes performing Register Transfer Level (RTL) simulation of the IC design, and generating the gate-level netlist includes performing RTL synthesis. The RTL simulation and RTL synthesis are performed on RTL data for the IC design.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: May 19, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Lior Moheban, Asher Berkovitz, Guy Shmueli
  • Patent number: 9038005
    Abstract: Methods for the design of microwave filters comprises comprising preferably the steps of inputting a first set of filter requirements, inputting a selection of circuit element types, inputting a selection of lossless circuit response variables, calculating normalized circuit element values based on the input parameters, and generate a first circuit, insert parasitic effects to the normalized circuit element values of the first circuit, and output at least the first circuit including the post-parasitic effect circuit values. Additional optional steps include: requirements to a normalized design space, performing an equivalent circuit transformation, unmapping the circuit to a real design space, performing a survey, and element removal optimization. Computer implement software, systems, and microwave filters designed in accordance with the method are included.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: May 19, 2015
    Assignee: RESONANT INC.
    Inventors: Patrick J. Turner, Richard N. Silver, Balam Quitze Andres Willemsen Cortes, Kurt F. Raihn, Neal O. Fenzi, Robert B. Hammond
  • Patent number: 9032350
    Abstract: A method for bypassing a defective through silicon via x in a group of n adjacent through silicon vias, includes receiving a plurality of relief signals to identify the defective through silicon via x, activating x?1 switch circuits to connect x?1 data circuits to through silicon vias 1 to x?1 in the group of n adjacent through silicon vias, activating n-x switch circuits to connect n-x data circuits to through silicon vias x+1 to n in the group of n adjacent through silicon vias, and activating a switch circuit to connect a data circuit to an auxiliary through silicon via which is adjacent through silicon via n in the group of n adjacent through silicon vias.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: May 12, 2015
    Assignee: PS4 Luxco S.A.R.L.
    Inventors: Kayoko Shibata, Hitoshi Miwa, Yoshihiko Inoue
  • Patent number: 9032347
    Abstract: A system, method, and computer program product for automatically generating equivalent assertions in different forms for different verification tools, which may be analog or digital. A user submits a set of logic assertions that, if unclocked, are converted to clocked assertions by generating and skewing clocks to ensure simulator uniformity. A stimulus is generated, perhaps at random, or input. A test bench is either input or synthesized. For each verification tool, the test bench is simulated and simulation results are captured. An assertion status difference engine evaluates result differences between the verification tools, and identifies and outputs differences indicating a significant inconsistency. Errors in verification tool implementation and user assertion coding can be detected. The simulators used may include SPICE and Verilog, or any other simulators that differ in type, simulation algorithm, input format, or vendor implementation.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: May 12, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Donald J. O'Riordan
  • Patent number: 9026968
    Abstract: To assist verification of a digital circuit design, a data processing system presents, within a graphical user interface of a display device, a presentation including a plurality of verification notifications arising from verification of a digital circuit design. The data processing system detects one or more user operations by which a user interacts with the plurality of verification notifications utilizing one or more user input devices and stores, in a memory, user operation information regarding the one or more user operations detected by the data processing system. The data processing system determines, based on said user operation information, a recommended subsequent user operation and presents, within the graphical user interface, an indication of the recommended subsequent user operation.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: May 5, 2015
    Assignee: International Business Machines Corporation
    Inventors: Carsten Greiner, Gerrit Koch, Juergen Ruf, Ken Werner
  • Patent number: 9026964
    Abstract: A method for modeling a circuit comprising storing a plurality of design variable ranges for a circuit component in a non-transient electronic data memory. Performing transistor-level simulations at a plurality of sample points for the circuit component to generate a plurality of design variable samples for the circuit component. Storing a neural network architecture in the non-transient electronic data memory that models the plurality of design variable samples for the circuit component. Storing a performance metric metamodel and a circuit parameter metamodel generated using Verilog-AMS.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: May 5, 2015
    Assignee: University of North Texas
    Inventors: Saraju P. Mohanty, Elias Kougianos, Geng Zheng
  • Patent number: 9026969
    Abstract: A method of designing arrangement of through silicon vias (TSVs) in a stacked semiconductor device is provided The method includes: determining a plurality of TSV candidate grids representing positions, into which the TSVs are insertable, in each of a plurality of semiconductor dies stacked mutually and included in a stacked semiconductor device; creating a plurality of path graphs representing linkable signal paths for a plurality of signals transmitted through the stacked semiconductor device, respectively, based on the TSV candidate grids; determining initial TSV insertion positions corresponding to shortest signal paths for the signals based on the path graphs; and determining final TSV insertion positions by verifying the initial TSV insertion positions so that a plurality of signal networks corresponding to the shortest signal paths for the signals have routability.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: May 5, 2015
    Assignees: Samsung Electronics Co., Ltd., Industry-University Cooperation Foundation Hanyang University
    Inventors: Myung-Soo Jang, Jae-Rim Lee, Jong-Wha Chong, Min-Beom Kim, Wen Rui Li, Cheol-Jon Jang
  • Patent number: 9026967
    Abstract: A method for designing a system to be implemented on a target device includes generating a register transfer language (RTL) representation of the system from a description of the system without pipelined delays. The RTL representation of the system includes pipelined delays to facilitate timing of the system as implemented on a target device identified by a designer.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: May 5, 2015
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 9026962
    Abstract: An electronic design automation system combines features of discrete EDA/CAD systems and manufacturing systems into a monolithic system to enable a layperson to efficiently design, construct and have manufactured a specific class of custom electronic device, namely a computer processing unit with embedded software. A Graphical User Interface (GUI) is provided as the front-end to a Computer Aided Design (CAD) server that generates sophisticated control and manufacturing instructions that are delivered to a fabrication supply chain, which produces a specified device that is then transported via managed logistics into inventory and ordering systems at vendors for delivery to a designated customer.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: May 5, 2015
    Assignee: Gumstix, Inc.
    Inventors: Walter Gordon Kruberg, Neil C. MacMunn
  • Patent number: 9026979
    Abstract: An analysis support apparatus includes a processor that is configured to acquire circuit data that indicates plural elements within a circuit and a node to which at least two elements are connected among the elements, and determine, based on the acquired circuit data and by referring to a memory unit that correlates and stores for each of the elements, the type of the element and information that indicates whether the phase of a signal is reversed when the signal passes through the element, whether the phase of the signal is reversed when the signal that passed through a given node among a plurality of nodes within the circuit returns to the given node; and an output unit that outputs information that indicates the given node when the processor determines that the phase of the signal is not reversed.
    Type: Grant
    Filed: February 11, 2014
    Date of Patent: May 5, 2015
    Assignee: Fujitsu Limited
    Inventors: Hiroyuki Sato, Satoshi Matsubara
  • Publication number: 20150121324
    Abstract: The invention relates to a rocket engine with an extendable divergent which includes an exhaust nozzle for the gases coming from a combustion chamber, the nozzle having a longitudinal axis (ZZ?) including a first portion defining a nozzle throat and a first fixed divergent section (12), at least one second extendable divergent section (16) with a larger cross-section than the first fixed divergent section (12) and a mechanism (18) for extending the second extendable divergent section (16) arranged outside the first and second divergent sections (12, 16). A rigid thermal protection screen (102) is positioned between the extending mechanism (18) and the first fixed divergent section (12). The thermal protection screen (102) has a convex wall (104) on the surface thereof that faces the first fixed divergent section (12).
    Type: Application
    Filed: April 22, 2013
    Publication date: April 30, 2015
    Inventors: Yvain Thonnart, Pascal Vivet
  • Patent number: 9021408
    Abstract: A system, method, and computer program product are provided for translating a hardware design. In use, a hardware design is received that is a graph-based intermediate representation of a hardware design stored in a source database. An instance of each unique module in the source database is determined and a hardware module node is generated for each unique module. Additionally, a list of one or more instances is associated with each hardware module node and a graph-based common representation of the hardware design that includes one or more of the generated hardware module nodes is stored.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: April 28, 2015
    Assignee: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 9015643
    Abstract: A system, method, and computer program product are provided for applying a callback function to data values. In use, a plurality of data values and a callback function are identified. Additionally, the callback function is recursively applied to the plurality of data values in order to determine a result. Further, the result is returned.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 21, 2015
    Assignee: NVIDIA Corporation
    Inventor: Robert Anthony Alfieri
  • Patent number: 9009642
    Abstract: An apparatus includes a memory device that includes instructions for analyzing RTL code to determine congestion of a logic design without completing a synthesis phase of a chip design process. The instructions can include receiving RTL code, and identifying a statement in the RTL code. The instructions can include determining that the statement in the RTL code corresponds to a structured device group in a component library, wherein the structured device group includes logic devices configured to occupy an area in a predefined spatial arrangement and with predetermined connectivity between the logic devices. The instructions can include determining congestion associated with the structured device group by performing operations including determining a congestion figure. The instructions can also include providing, based on the congestion figure, an indication of the congestion associated with the structured device group.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Sourav Saha, Dilip K. Jha
  • Publication number: 20150100930
    Abstract: For mapping a sustainable, differentially reliable architecture for dark silicon, a calculation module calculates an expected energy efficiency for a prior mapping of process threads for a plurality of cores. The calculation module further calculates a workload acceptance capacity (WAC) from degradation rates for the plurality of cores. A map module maps the process threads to the plurality of cores based on at least one of the expected energy efficiency and the WAC to satisfy a mapping policy. A specified number of the plurality of cores is not powered.
    Type: Application
    Filed: October 4, 2013
    Publication date: April 9, 2015
    Applicant: UTAH STATE UNIVERSITY
    Inventors: Jason M. Allred, Koushik Chakraborty, Sanghamitra Roy
  • Publication number: 20150100931
    Abstract: Aspects of the invention relate to techniques for adaptive clock management in emulation. A clock suspension request signal, indicating when a suspension of design clock signals in an emulator is needed, is generated based on activity status information of the emulator with one or more emulator resources such as software environment. A clock suspension allowance signal, indicating whether a suspension of design clock signals is permitted considering dynamic targets in the emulator, is generated based on slack information related to one or more clock signals associated with one or more dynamic targets of the emulator. Based on the clock suspension request signal and the clock suspension allowance signal, a clock suspension signal is generated for enabling temporary design clock suspensions.
    Type: Application
    Filed: November 22, 2013
    Publication date: April 9, 2015
    Applicant: Mentor Graphics Corporation
    Inventors: Krishnamurthy Suresh, Charles W. Selvidge, Sanjay Gupta, Amit Jain, Satish Kumar Agarwal
  • Patent number: 9003339
    Abstract: Technology for synthesizing a behavioral description of a circuit into a structural description of the circuit is disclosed. The behavioral description may describe the circuit in terms of the circuit's behavior, or other functionality, via multiple statements, including a conditional statement. The technology includes analyzing statements upstream and/or downstream from the conditional statement, identifying one or more statements having dependency relationships with the conditional statement and inferring one or more potential clock domains for logic associated with the identified statements.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: April 7, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mark Jensen, Andrew Goodrich, Valery Fouron
  • Publication number: 20150085586
    Abstract: A memory device having an array of memory cells connected to a core voltage level, and access circuitry used to perform a write operation in order to write data into a plurality of addressed memory cells. At least one bit line associated with at least each column in the array containing an addressed memory cell is precharged to the peripheral voltage level prior to the write operation being performed. Word line driver circuitry is then configured to assert a word line signal at the core voltage level on the word line associated with the row of the array containing the addressed memory cells. Write multiplexing driver circuitry asserts a mux control signal to write multiplexing circuitry which then couples the bit line of each addressed memory cell to the write driver circuitry in dependence on the mux control signal identifying which column contains the addressed memory cells.
    Type: Application
    Filed: September 26, 2013
    Publication date: March 26, 2015
    Applicant: ARM LIMITED
    Inventors: Bo ZHENG, Jungtae KWON, Gus YEUNG, Yew Keong CHONG
  • Patent number: 8990739
    Abstract: A system and method tests for functional equivalence prior to automatically retiming a high-level specification. An Intermediate Representation (IR) includes one or more graphs or trees based on the high-level specification. A functional equivalence (FE) analyzer determines whether one or more components in the graph meet certain value and state conditions and thus is a candidate for retiming. A bounded scheduler then retimes only those components that pass the FE analysis.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: March 24, 2015
    Assignee: The MathWorks, Inc.
    Inventors: Yongfeng Gu, Girish Venkataramani
  • Patent number: 8990741
    Abstract: A processing part inputs a behavior description code in which a write access array to be accessed to write and a read access array to be accessed to read are used. The processing part analyzes the behavior description code, and determines an order of using each write access address and an order of using each read access address when the behavior description code is executed. Further, the processing part performs either one of a write access order changing process to change the order of using the write access addresses when the behavior description code is executed based on the order of using the read access addresses and a read access order changing process to change the order of using the read access addresses when the behavior description code is executed based on the order of using the write access addresses.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: March 24, 2015
    Assignee: Mitsubishi Electric Corporation
    Inventor: Ryo Yamamoto
  • Patent number: 8990742
    Abstract: A method of designing an acoustic microwave filter in accordance with frequency response requirements.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: March 24, 2015
    Assignee: Resonant Inc.
    Inventors: Patrick J. Turner, Richard N. Silver, Balam Quitze Andres Willemsen Cortes, Kurt F. Raihn, Neal O. Fenzi, Robert B. Hammond
  • Patent number: 8990740
    Abstract: A reconfigurable computer architecture is disclosed. The reconfigurable computer architecture has a plurality of logic elements, a plurality of connection switching elements, and a plurality of volatile and/or non-volatile configuration random access memories (RAMs). Each of the configuration RAMs is electrically coupled to at least one of the plurality of logic elements or at least one of the connection switching elements.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: March 24, 2015
    Assignee: The Trustees of Princeton University
    Inventors: Wei Zhang, Niraj K. Jha, Li Shang
  • Patent number: 8990762
    Abstract: A semiconductor device design method performed by at least one processor comprises extracting, using a resistance and capacitance (RC) extraction tool, at least one first parasitic capacitance among electrical components inside one or more regions of a plurality of regions in a layout of a semiconductor device. The method also comprises extracting, using the RC extraction tool, at least one second parasitic capacitance among electrical components outside the regions of the plurality of regions. The method further comprises combining, using a netlist generator tool, the extracted first and second parasitic capacitances into a netlist representing the layout. The RC extraction tool is configured to extract the first parasitic capacitances inside at least one region of the plurality of regions using a methodology more accurate than that for extracting the second parasitic capacitances.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: March 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ping-Hung Yuh, Cheng-I Huang, Chung-Hsing Wang