Verification Patents (Class 716/111)
  • Patent number: 8533656
    Abstract: Systems and methods for performing a signature analysis on sorted data to identify one or more outliers in a dataset. The method includes determining, with a control platform, a percentile range of a sorted dataset, wherein the percentile range includes a first plurality of qualified vector patterns; determining a signature of the percentile range; determining a signature of a second plurality of vector patterns, wherein the second plurality of vector patterns includes a first test vector pattern that is outside of the percentile range; and comparing the signature of the percentile range to the signature of the second plurality of vector patterns. The method further includes, based at least in part on the comparing, identifying the first vector pattern as one of (i) a qualifying vector pattern or (ii) an outlier.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: September 10, 2013
    Assignee: Marvell International Ltd.
    Inventor: Bede C Nnaji
  • Patent number: 8533639
    Abstract: The present disclosure provides an integrated circuit design method. In an example, a method includes receiving an integrated circuit design layout that includes an active region feature, a contact feature, and an isolation feature, wherein a portion of the active region feature is disposed between the contact feature and the isolation feature; determining whether a thickness of the portion of the active region feature disposed between the contact feature and the isolation feature is less than a threshold value; and modifying the integrated circuit design layout if the thickness is less than the threshold value, wherein the modifying includes adding a supplementary active region feature adjacent to the portion of the active region feature disposed between the contact feature and the isolation feature.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: September 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Chan Lu, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang, Jen-Pan Wang
  • Patent number: 8533649
    Abstract: A method for reducing leakage power of an IC during the design of the IC. A cell based IC design is received that includes a plurality of signal paths with positive slack. The positive slack is converted to negative slack by replacing cell instances in the IC design with footprint equivalent variants of the cell instances. The negative slack is converted back to positive slack via an iterative path-based analysis of the IC design. In each iteration, a path is selected that has negative slack and replacement values are computed for cell instances in the path. One or more cell instances in the path are then replaced with variants based on the replacement values.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: September 10, 2013
    Assignee: Synopsys, Inc.
    Inventor: Sridhar Tirumala
  • Patent number: 8533653
    Abstract: A design support apparatus includes: a logical expression substitution unit to substitute a part of the logical expression, which includes a function expression of the design variables and a quantifier attached to the design variable, with a substitution variable; a quantifier elimination unit to generate a relational expression including the substitution variable and design variables without the quantifier by eliminating the design variable to which the quantifier is attached from the logical expression; a sampling point generation unit to generate a plurality of sampling points corresponding to the design variables and the substitution variable included in the relational expression; a possible range computation unit to compute, for each of the sampling points, a possible range that the relational expression may take, by calculating values of remaining design variables included in the relational expression based on the relational expression; and a possible range display unit to display the possible range.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: September 10, 2013
    Assignee: Fujitsu Limited
    Inventors: Hirokazu Anai, Hidenao Iwane, Hitoshi Yanami
  • Patent number: 8528195
    Abstract: A layout method for electronic components of a double-sided surface mount circuit board is presented, which includes the following steps. At least one first electronic component is fixed on a first side surface of a circuit board through a reflow soldering process. At least one second electronic component is inserted on the first side surface of the circuit board. The other first electronic component is placed on a second side surface of the circuit board, and the other second electronic component is inserted on the second side surface of the circuit board. Finally, a reflow soldering process is performed on the circuit board disposed with the first electronic components and the second electronic components, thereby completing a layout process for the electronic components on the two side surfaces of the circuit board at the same time.
    Type: Grant
    Filed: January 20, 2010
    Date of Patent: September 10, 2013
    Assignee: Inventec Corporation
    Inventors: Chung-Yang Wu, Hung-Tao Wong
  • Patent number: 8533647
    Abstract: In order to realize some of the advantages described above, there is provided a computer-implemented method for verification of an intellectual property (IP) core in a system-on-chip (SoC). The method comprises generating a plurality of verification specific abstracted views of the IP core each of the plurality of verification specific abstracted views having a plurality of verification specific attributes at an input/output (I/O) interface of each of the abstracted view of the IP-core. A unified abstracted view of the IP-core is generated.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: September 10, 2013
    Assignee: Atrenta, Inc.
    Inventors: Sridhar Gangadharan, Mohammad H. Movahed-Ezazi, Shaker Sarwary, Fadi Maamari, Subir Subir Ray
  • Patent number: 8527933
    Abstract: An integrated circuit device layout is created based on charge carrier mobility characteristics of the device's non-functional cells. The charge carrier mobility of the non-functional cells can alter behavioral characteristics such as the hold time, setup time, or leakage current of nearby functional logic cells. Accordingly, a layout tool creates the layout for the integrated circuit device by selecting and placing non-functional cells having different mobility so as to selectively alter the characteristics of nearby logic cells.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: September 3, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Puneet Sharma
  • Patent number: 8527936
    Abstract: An improved method, system, user interface, and computer program product is disclosed for performing graphical analysis of coverage. According to some approaches, a graphical user interface uses treemaps to provide analysis of verification coverage. This allows the user to efficiently obtain the overall and/or complete picture of the coverage space, as well as the relative size of nodes in terms of number of coverage elements contained in them. Moreover, the present treemap approach provides relative comparison of coverage of the nodes and allows the user to identify whether there is any missing coverage, and if so, whether the missing coverage evenly balanced. This information is very useful for the decision made by the user regarding overall coverage and steps to be taken to improve the coverage.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: September 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Anuja Jain, Sandeep Pagey, Yaron Peri-Glass
  • Patent number: 8527924
    Abstract: A method and apparatus are provided for use in synthesis of RTL integrated circuit design to determine the functional equivalence of designs. For example, the receiver receives a plurality of designs for synthesis in RTL and a data flow graph is derived for each design. Internal bit widths in the data flow graph representations are restricted (52) to provide a first modified version of each of the designs. These first modified versions are compared each with the design from which it was derived in a comparison unit (54). The input bit widths of the data flow graph representation are then restricted to be no wider than the output bit widths (56) to derive second modified versions of the designs (58). These second modified versions are compared with each other (60) to determine which are equivalent. Equivalent designs can be passed to an RTL synthesis unit 62, or otherwise further evaluated.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: September 3, 2013
    Assignee: Imagination Technologies, Ltd.
    Inventors: Theo Alan Drane, Freddie Rupert Exall
  • Patent number: 8527921
    Abstract: One embodiment of the present invention provides a system which verifies a circuit design by biasing input stimuli for the circuit design to satisfy one or more temporal coverage properties to be verified for the circuit design. This system performs a simulation in which random input stimuli are applied to the circuit design. The system performs the simulation by using a finite state automaton (FSA) instance for a temporal coverage property to observe inputs and outputs of the circuit, and by using soft constraints associated with the FSA instance to bias the input stimuli for the circuit design so that the simulation is likely to progress through a sequence of states which satisfy the temporal coverage property.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: September 3, 2013
    Assignee: Synopsys, Inc.
    Inventors: Eduard Cerny, Surrendra A. Dudani, William R. Dufresne
  • Publication number: 20130227508
    Abstract: A computer system performs a verification process that quickly and efficiently determines a temperature rise of DC conductor lines of an IC design caused by Joule heating in nearby AC conductor lines of the IC design, and whether the temperature rise is acceptable in terms of an electromigration performance of the IC design.
    Type: Application
    Filed: February 24, 2012
    Publication date: August 29, 2013
    Applicant: AVAGO TECHNOLOGIES FIBER IP (SINGAPORE) PTE. LTD.
    Inventors: Jason T. Gentry, Brian C. Miller, William S. Burton, M. Jason Welch, Richard A. Krzyzkowski
  • Publication number: 20130227509
    Abstract: A test system for testing prototype designs includes a host workstation, multiple interface devices, and multiple prototype boards. The prototype boards include programmable devices which implement one or more partitions of a user design and an associated verification modules. The verification modules probe signals of the partitions and transmit the probed signals to the interface devices. The verification modules can also transmit output signals generated by one or more partitions on the prototype boards to the host workstation via the interface devices, and transmit input signals, which are received from the host workstation via the interface devices, to one or more partitions on the prototype boards.
    Type: Application
    Filed: April 3, 2013
    Publication date: August 29, 2013
    Applicant: Synopsys Taiwan Co., Ltd.
    Inventor: Synopsys Taiwan Co., Ltd.
  • Patent number: 8522181
    Abstract: A technology specific information to design the integrated circuit is received. A plurality of canonical hierarchical models to capture an integrated circuit capacitance are created. The plurality of canonical hierarchical models includes at least a canonical model to capture a capacitance of a device having a plurality of conductors, and a canonical model to capture a capacitance between at least a portion of the device and one or more other conductors of the integrated circuit. The canonical hierarchical models can be applied to a layout of the integrated circuit. A capacitance for the layout can be determined based on the canonical hierarchical models.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: August 27, 2013
    Assignee: Synopsys, Inc.
    Inventors: Arthur Nieuwoudt, Jiyoun Kim, Mathew Koshy, Baribrata Biswas
  • Patent number: 8522176
    Abstract: A computer-implemented method to debug testbench code of a testbench associated with a circuit design by recording a trace of call frames along with activities of the circuit design. By correlating and displaying the recorded trace of call frames, the method enables users to easily trace an execution history of subroutines executed by the testbench thereby to debug the testbench code. In addition, users can trace source code of the testbench code by using the recorded trace of call frames. Furthermore, users can debug the testbench code utilizing a virtual simulation, which is done by post-processing records of the virtual simulation stored in a database.
    Type: Grant
    Filed: May 8, 2011
    Date of Patent: August 27, 2013
    Assignee: Synopsys, Inc.
    Inventors: Chia-Ling Ho, Jian-Cheng Lin, Jencheng Wang
  • Patent number: 8522188
    Abstract: In a method of designing a system-on-chip including a tapless standard cell to which body biasing is applied, a slow corner timing parameter is adjusted to increase a slow corner of an operating speed distribution for the system-on-chip by reflecting forward body biasing, and a fast corner timing parameter is adjusted to decrease a fast corner of the operating speed distribution for the system-on-chip by reflecting reverse body biasing. The system-on-chip including the tapless standard cell is implemented based on the adjusted slow corner timing parameter corresponding to the increased slow corner and the adjusted fast corner timing parameter corresponding to the decreased fast corner. The slow corner timing parameter corresponds to a lowest value of an operating speed design window of the system-on-chip, and, the fast corner timing parameter corresponds to a highest value of the operating speed design window of the system-on-chip.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: August 27, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Ock Kim, Jae-Han Jeon, Jung-Yun Choi, Kee-Sup Kim, Hyo-Sig Won
  • Patent number: 8516422
    Abstract: A method for implementing a single file format for power-related information for an IC comprising: providing a circuit design in at least one design file in a non-transitory computer readable storage device; providing power-related design information in a file in the computer readable storage device that is separate from the at least one design file and that specifies multiple power domains within the circuit design, each power domain including one or more design object instances from within the circuit design and that specifies multiple power modes each power mode corresponding to a different combination of on/off states of the multiple specified power domains and that specifies isolation behavior relative to respective power domains; and using a computer to add power control circuitry to the circuit design that implements the power domains and power modes and isolation behavior specified in the power specification information.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: August 20, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Qi Wang, Ankur Gupta, Pinhong Chen, Christina Chu, Manish Pandey, Huan-Chih Tsai, Sandeep Bhatia, Yonghao Chen, Steven Sharp, Vivek Chickermane, Patrick Gallagher
  • Patent number: 8516428
    Abstract: Methods, systems, and media to improve the manufacturability of cells and structures within cells of an integrated circuit are disclosed. Embodiments comprise a method of arranging programmable cells, routing the programmable cells, analyzing the cell arrangement and interconnect wiring for manufacturing improvement opportunities, and modifying the programmable cell structures to incorporate the manufacturing improvements. In some embodiments, wires are spread to prevent shorting. In other embodiments, the reliability of contacts and vias is improved by adding additional metallization to the areas surrounding the contacts and vias, or by adding redundant contacts and vias. In one embodiment, a series of manufacturing improvements are made to integrated circuit cells in an iterative fashion.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: August 20, 2013
    Assignee: International Business Machines Corporation
    Inventors: Benjamin J. Bowers, Anthony Correale
  • Patent number: 8516432
    Abstract: Reconstruction methods and devices are disclosed for scan chains in physical design that is based on two-way priority selection. The structural reconstruction method in the scan chains, in the first place, establishes a first preference sequence for a certain number of scanning elements in each of these scan chains as well as a secondary preference sequence for these scan chains in each of these scanning elements respectively. Then, two-way selection is executed between the scan chains and scanning elements based on the corresponding first preference sequence and secondary preference sequence, so that these scanning elements can be redistributed to these scan chains. The structural reconstruction method and device in the invention conduct an integrated optimization for a global scan chain, where the global wiring length is shortened dramatically and the wiring efficiency is improved.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: August 20, 2013
    Assignee: Synopsys (Shanghai) Co., Ltd.
    Inventors: Bang Liu, Bohai Liu
  • Publication number: 20130212547
    Abstract: A method is directed to automatic extraction of block binders before block placement and application of block binders in block placement of an integrated circuit. Having block binders reduces the effective block count the block placement has to handle, and enables obtaining better placement result in shorter run time. The method includes an algorithm of processing the nodes of a hierarchical net-list to identify candidate nodes or create new candidate nodes to contain identified nodes. The method includes an algorithm of extracting a block binder out of blocks under each candidate node. The method includes an algorithm of automatic packing and generation of various configurations for a block binder to provide flexibility in block placement. The method also includes adapting any block placement algorithm to select to the best fit configuration of any block binder during the placement process.
    Type: Application
    Filed: February 13, 2012
    Publication date: August 15, 2013
    Inventor: Chihliang (Eric) Cheng
  • Patent number: 8510695
    Abstract: A technique for determining stress in interconnects of an integrated circuit design includes generating a maximal spanning tree of a directed graph. The directed graph represents an interconnect of an integrated circuit design. The technique also includes locating a first point on the spanning tree that has a lowest stress and a second point on the spanning tree that has a highest stress. The technique further includes determining whether a maximum first stress between the first and second points is less than a critical stress.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: August 13, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ertugrul Demircan, Mehul D. Shroff
  • Patent number: 8510694
    Abstract: A transaction level (TL) system power estimation method and system are provided. The method includes inserting at least a characteristic extractor into an electronic device of a target system. The characteristic extractor extracts at least a power characteristic of the electronic device when a TL simulation is proceeding. The power characteristic provided from the characteristic extractor is converted to at least a power consumption value by using a power model. The power consumption value is recorded into a power database, for analyzing power consumption of the whole target system. In some embodiments, the TL system power estimation method and system can be applied in the target system with dynamic power management. The TL system power estimation method and system also can be used with a high-level synthesizer to develop the power-aware electronic device in a short time.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: August 13, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Wen-Tsan Hsieh, Jen-Chieh Yeh, Hong-Jie Huang, I-Yao Chuang
  • Patent number: 8510693
    Abstract: A design verification method is disclosed. A computer searches for a path in accordance with a connection relationship between blocks by referring to a netlist stored in a storage part based on terminal information concerning a verification of a circuit which is formed by the blocks. Then, the computer changes an abstraction level of an operation of an out-of-path block which is a block outside the path and is searched for from the blocks described in the netlist.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: August 13, 2013
    Assignees: Fujitsu Limited, Fujitsu Semiconductor Limited
    Inventors: Hiroyuki Sato, Hideo Kikuta
  • Patent number: 8510705
    Abstract: A computer-based method and a computing device for checking stub lengths of via stubs of a printed circuit board (PCB) layout are provided. The computing device displays a check interface, selects signal transmission line from a currently run PCB layout through the check interface, receives a reference stub length input through the check interface, and determines the actual stub length of each via stub of each via each selected signal transmission line connected to. The computing device further determines that a design of one via stub satisfies the design standards, if the actual stub length of the one stub via is less than or equal to the reference length, and determines that a design of one via stub does not satisfy the design standards if the actual stub length of the one via stub is greater than the reference stub length.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: August 13, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Jia-Lu Ye, Chia-Nan Pai, Shou-Kuo Hsu
  • Publication number: 20130201753
    Abstract: A method and circuit for implementing low power write disabled local evaluation for Static Random Access Memory (SRAM), and a design structure on which the subject circuit resides are provided. The circuit includes a write disable function to prevent discharge of a global bit line during a write operation. The write disable function disables a NAND gate driving a global pull down device during the write operation preventing the global pull down device from discharging the global bit line.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 8, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chad A. Adams, Sharon H. Cesky, Elizabeth L. Gerhard, Jeffrey M. Scherer
  • Patent number: 8504977
    Abstract: A method of generating electrical rule file for circuit board by using an electronic device. The electronic device acquires a component file, a wiring file, a wiring group file, a first electrical rule file, and a second electrical rule file from a storage device. The electronic device integrates the component file and the wiring file to be an integrated file according to wire names, acquires group names and inserts the group names into the integrated file according to the wire names, acquires first electrical rules and inserts the first electrical rules into the integrated file according to the group names, acquires second electrical rules and inserts the second electrical rules into the integrated file according to the group names, to complete the integrated file, and saves the completed file to the storage device.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: August 6, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Shin-Ting Yen, Chun-Neng Liao, Cheng-Hsien Lee
  • Patent number: 8504978
    Abstract: In one embodiment of the invention, a method includes reading an automatically generated timing budgeting file, including timing budget information for a plurality of partitions of an integrated circuit design; graphically displaying a time budgeting debug window on a display device; and graphically displaying a timing budget analyzer window on the display device in response to selection of a selected signal path in a path list window pane. The timing budget analyzer window graphically displays timing budgets and timing delays of a selected path for visual comparison. The time budgeting debug window includes a button with a path category menu to display one or more signal paths meeting a selected path category, and a path list window pane to display a list of one or more signal paths through one or more ports of the plurality of partitions in response to the selected path category in the path category menu.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: August 6, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Didier Seropian, Oleg Levitsky
  • Patent number: 8504969
    Abstract: A system and method are provided for laying out an integrated circuit design into a plurality of circuit layout cells having gaps therebetween, and inserting into each given one of at least a subset of the gaps, a corresponding filler cell selected from a predefined database in dependence upon a desired effect on a performance parameter of at least one circuit cell adjacent to the given gap. The circuit layout cells may be arranged in rows, and in some embodiments the selection of an appropriate filler cell for a given gap depends upon effects desired on a performance parameter of both circuit cells adjacent to the given gap. The predefined filler cells can include, for example, dummy diffusion regions, dummy poly lines, N-well boundary shifts and etch stop layer boundary shifts. In an embodiment, circuit layout cells can be moved in order to accommodate a selected filler cell.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: August 6, 2013
    Assignee: Synopsys, Inc.
    Inventors: Xi-Wei Lin, Jyh-Chwen Frank Lee, Dipankar Pramanik
  • Patent number: 8504956
    Abstract: Accurate circuit and system timing analysis is a critical tool for designing and analyzing complex modern semiconductor chips. While the accuracy and detail of dynamic electrical simulation may be desirable in theory, such analysis is not feasible due to extreme computational complexity and open-ended simulation times. Improved circuit modeling and timing analysis tools that can provide both accuracy and computational efficiency are required. Table look-up (TLU) and other techniques provide computationally efficient timing analysis but may be undertaken at the expense of simulation accuracy. Instead, the use of current waveform moments representing the frequency domain equivalents of signals can provide the required simulation accuracy and computational efficiency.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: August 6, 2013
    Assignee: Synopsys, Inc.
    Inventor: Ahmed Mamdouh Shebaita
  • Patent number: 8504971
    Abstract: A method, system, and integrated circuit including selectively added timing margin. The method, for integrating statistical timing and automatic test pattern generation (ATPG) to selectively add timing margin in an integrated circuit, includes identifying, while a chip is in design, paths that are unable to be robustly tested “at speed” during manufacturing test, running statistical timing to calculate a margin to be applied to the paths, updating design specifications for margin to be applied to the paths, and optimizing chip logic based on updated design specifications.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: August 6, 2013
    Assignee: International Business Machines Corporation
    Inventors: David E. Lackey, Chandramouili Visweswariah, Paul S. Zuchowski
  • Patent number: 8504965
    Abstract: A method of designing integrated circuits includes providing a design of an integrated circuit at a first scale, wherein the integrated circuit includes a shrinkable circuit including a first intellectual property (IP); and a non-shrinkable circuit including a second IP having a hierarchical structure. A marker layer is formed to cover the non-shrinkable circuit, wherein the shrinkable circuit is not covered by the marker layer. The electrical performance of the non-shrinkable circuit is simulated using a simulation tool, wherein the simulated non-shrinkable circuit is at a second scale smaller than the first scale.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: August 6, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Yi Liu, Chung-Hsing Wang, Yung-Chin Hou, Lie-Szu Juang
  • Patent number: 8504976
    Abstract: In an example embodiment, the system obtains the mutual inductance (e.g., Mij) between a quiet I/O buffer and each switching I/O buffer on a PLD from an automatic SSN measurement system. The system calculates the corrected mutual inductance between the quiet I/O buffer and each switching I/O buffer by multiplying the mutual inductance by a correction factor (e.g., ?j). The system multiplies each corrected mutual inductance by the rate of current flowing through the switching I/O buffer to obtain an induced voltage resulting from the switching I/O buffer. The system sums the induced voltages for all the switching I/O buffers on the PLD to obtain an estimate of total induced voltage caused in the quiet I/O buffer by all switching I/O buffers. The correction factor is based on bench measurements and depends on the amplitude of the simultaneous switching noise affecting each switching I/O buffer.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: August 6, 2013
    Assignee: Altera Corporation
    Inventors: Zhuyuan Liu, Geping Liu, San Wong
  • Publication number: 20130198707
    Abstract: A method of electron-beam lithography is provided, notably for technologies of critical dimension of the order of 22 nm. In such methods applied notably to networks of lines, the methods of the prior art do not offer precise and efficient correction of the shortenings of line ends. The method provided solves this problem by carrying out the insertion of contrast intensification structures of types which are optimized for the structure of the lines to be corrected. The method allows the semi-automatic or automatic calculation of the dimensions and locations of said structures. Advantageously, these calculations may be modeled to produce a target design, derived from libraries of components. They may be supplemented with a joint optimization of the size of the etchings and of the radiated doses, as a function of the process energy latitude.
    Type: Application
    Filed: April 13, 2011
    Publication date: August 1, 2013
    Applicant: Commissariat a L'Energie Atomique et aux Energies Alternatives
    Inventor: Serdar Manakli
  • Publication number: 20130198708
    Abstract: Aspects of the invention are directed towards placing components within a layout design for a PCB. More specifically, various implementations of the invention provide methods and apparatuses that can dynamically adjust the shape or placement of component groups during an HGP process. With some implementations of the invention, an HGP process for planning the layout of a PCB is provided. Furthermore, component groups, which conflict, geographically, with either another component group or some other object within the layout design are allowed to be placed during the planning process. Subsequently, the placement locations for one or both of the conflicting component groups are adjusted to resolve the conflict. In some implementations, the geometric boundary, or footprint, of one or both of the component groups is adjusted to resolve the conflict.
    Type: Application
    Filed: January 31, 2013
    Publication date: August 1, 2013
    Applicant: MENTOR GRAPHICS CORPORATION
    Inventor: MENTOR GRAPHICS CORPORATION
  • Publication number: 20130198709
    Abstract: Aspects of the invention provide for the maintenance of user modified portions of a map between a test bench and a test set generator during an iterative electronic design process. Various implementations of the invention provide for matching sections within a design for an electronic device with corresponding sections in a map between the elements in the design to elements in a graph representation of the design. The matched sections are then compared to determine if any discrepancies exists, such as, for example, if the design has been recently changed. If any discrepancies do exist, then it is determined whether the section of the map can be updated or must be replaced entirely to resolve the discrepancies. Various implementations of the invention provide that the process can be repeated during an iterative design flow such that as the design is modified during the iterative design flow, the map can be updated to reflect the changes.
    Type: Application
    Filed: January 31, 2013
    Publication date: August 1, 2013
    Applicant: Mentor Graphics Corporation
    Inventor: Mentor Graphics Corporation
  • Publication number: 20130198706
    Abstract: A system and method is disclosed for functional verification and/or simulation of dies in a multi-die 3D ICs. The system and method include converting an I/O trace, embodied as a Value Change Dump, to one or more Universal Verification Methodology objects. This conversion aids in identify and fixing issues contained in die.
    Type: Application
    Filed: January 31, 2012
    Publication date: August 1, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ashok Mehta, Stanley John, Sandeep Kumar Goel, Kai-Yuan Ting
  • Publication number: 20130198705
    Abstract: In one exemplary embodiment of the invention, a method includes: receiving a first description for a circuit whose operation over a plurality of inputs is to be verified; receiving a second description for expected behavior of the circuit, where the expected behavior in the second description is expressed as a set of algebraic systems of multivariable polynomials over at least one Galois field; applying at least one computational algebraic geometry technique to a combination of the first description and the second description to determine whether the circuit is verified, where verification of the circuit confirms that at least one output obtained based on the first description corresponds to at least one expected value based on the expected behavior expressed in the second description; and outputting an indication as to whether the circuit is verified.
    Type: Application
    Filed: January 27, 2012
    Publication date: August 1, 2013
    Applicant: International Business Machines Corporation
    Inventors: Gradus (Geert) Janssen, Luis Lastras-Montano, Alexey Y. Lvov, Viresh Paruthi, Robert Shadowen, Barry M. Trager, Shmuel Winograd, Ali El-Zein
  • Patent number: 8499265
    Abstract: A circuit for preventing a setup fail between a first latch and a second latch according to one embodiment of the present invention comprises a mimic combinational logic module and a clock compare module. The mimic combinational logic module is configured to receive a first clock signal for the first latch and to generate a delayed first clock signal, which is a delayed version of the first clock signal. The clock compare module is configured to provide a delayed second clock signal, which is a delayed version of a second clock signal for the second latch, to the second latch after receiving the delayed first clock signal and the second clock signal.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: July 30, 2013
    Assignee: Nanya Technology Corporation
    Inventor: Stephen Potvin
  • Patent number: 8499209
    Abstract: Test patterns for at-speed scan tests are generated by filling unspecified bits of test cubes with functional background data. Functional background data are scan cell values observed when switching activity of the circuit under test is near a steady state. Hardware implementations in EDT (embedded deterministic test) environment are also disclosed.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: July 30, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Elham K. Moghaddam, Nilanjan Mukherjee, Mark A Kassab, Xijiang Lin
  • Patent number: 8498855
    Abstract: A circuit simulation apparatus is provided with a parameter calculating tool and a circuit simulator. The parameter calculating tool is configured to extract gate spacings between gates of a target MOS transistor and adjacent MOS transistors integrated in an integrated circuit from layout data of the integrated circuit, and to calculate a transistor model parameter corresponding to a threshold voltage of the target MOS transistor based on the extracted gate spacings. The circuit simulator is configured to perform circuit simulation of the integrated circuit by using the calculated transistor model parameter.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: July 30, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Hideo Sakamoto
  • Patent number: 8499274
    Abstract: A tool includes one or more machine readable storage mediums encoded with data. The data include a list of standard cells included in an integrated circuit (IC) design The data include a nominal leakage value approximating a respective median leakage value for each of the plurality of standard cells at a predetermined temperature and voltage. The data include at least one table including adjustment factors for calculating leakage based on voltage, temperature and process variations. The table includes a respective statistical scaling factor, for computing a mean leakage corresponding to a given median leakage. A processor is programmed to calculate and output a total IC leakage for the IC design at an input voltage and input temperature, based on the list, the nominal leakage values, the input voltage, the input temperature and at least one of the adjustment factors.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: July 30, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Ju Chao, Jerry Chang-Jui Kao, King-Ho Tam, Chung-Hsing Wang, Huan Chi Tseng
  • Patent number: 8495541
    Abstract: A characterization device capable of extracting the characteristic values of high-reliability hard macros at high speed. A characteristic value extraction unit calculates the delay time at measurement points within the hard macro and extracts the characteristic values by applying a slew to the signal waveform that is inputted to the hard macro and making a static path search. A dynamic verification unit verifies whether the characteristic values are adequate or not by carrying out a dynamic verification of the hard macro utilizing the characteristic values extracted by the characteristic value extraction unit. The characterization device can therefore shorten the time required to make a dynamic verification, and further is capable of extracting the characteristic values of high-reliability hard macros at high-speed.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: July 23, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Masahiko Omura, Takashi Nakajima
  • Patent number: 8495542
    Abstract: Automated management of verification waivers is disclosed. In one embodiment a method is provided comprising issuing a request to perform a verification run on a component of an electric circuit design, receiving configuration data specifying a list of waivers extracted from a plurality of waivers applicable to the electric circuit design as a whole where the list of waivers is extracted based on waiver validity period data and is applicable to the component rather than the electric circuit design as a whole. The described method further comprises identifying a potential design defect and generating a verification run result including a set of design defects of the component, the set including the potential design defect if no waiver of the list of waivers is determined to be applicable.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventor: Gero Dittmann
  • Patent number: 8495534
    Abstract: A computer implemented method, data processing system, and computer program product for reworking a plurality of cells initially placed in a circuit design. An expander allocates cells to tiles. The expander determines a high detailed routing cost tile class, wherein the high detailed routing cost tile class is a class of tiles that has high detailed routing costs. The expander selects a cell within a tile of the high detailed routing cost tile class to form a selected cell in a selected tile. The expander applies multiple techniques to reposition these cells at new locations to improve the detailed routability. The expander can place an expanded bounding box around the selected cell, wherein the bounding box extends to at least one tile adjacent the selected tile, and repositions the selected cell within the bounding box to form a modified design to improve the detailed routability. The expander may also inflate and legalize those cells.
    Type: Grant
    Filed: June 8, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles J. Alpert, Zhuo D. Li, Gi-Joon Nam, Shyam Ramji, Lakshmi N. Reddy, Jarrod A. Roy, Taraneh E. Taghavi, Paul G. Villarrubia, Natarajan Viswanathan
  • Patent number: 8495554
    Abstract: A method for matching systems with power and thermal domains is provided in the illustrative embodiments. A subset of the set of systems is sorted according to size to form a sorted list of systems. The smallest remaining system in the sorted list of systems is selected. The smallest remaining system is allocated to a domain responsive to a determination that the domain can service the smallest remaining system. A system from a second subset is allocated to a plurality of domains such that the plurality of domains includes a smallest number of domains from the set of domains.
    Type: Grant
    Filed: April 30, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Charles Robert Lefurgy, Freeman Leigh Rawson, III
  • Patent number: 8495540
    Abstract: A computer system selects a signal conductor from an electronic circuit design layout and assigns a first potential to the selected signal conductor. Next, the computer system assigns a second potential to other signal conductors included in the electronic circuit design layout. The computer system then selects a metal fill from the electronic circuit design layout, which is void from carrying an electrical signal, and generates a zero charge equation for the selected metal fill. The zero charge equation establishes that a total charge residing on the selected metal fill is equal to zero. The computer system includes the zero charge equation in a system of equations, which includes grid point potential equations, and solves the system of equations. In turn, the computer system computes capacitance values for the signal conductors based upon the system of equation solutions, and simulates the electronic circuit design layout using the computed capacitance values.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ibrahim M. Elfadel, Tarek Ali El Moselhy, David J. Widiger
  • Publication number: 20130185687
    Abstract: A method for generating a layout for a cell of an integrated circuit (IC) guided by design rule checking (DRC) is disclosed. In the method, a model is defined, wherein the model comprises a plurality of parameters for generating a layout of the cell. Next an initial layout for the cell can be generated according to an initial set of values for the plurality of parameters. Then design rule checking (DRC) is performed for the initial layout based on a set of design rules. If any violations are found, the corresponding violation reports will be associated with the model. Therefore, a new set of values for the plurality of parameters can be generated by analyzing the violation reports collectively based on the model. With the new set of values for the plurality of parameters and above steps repeated, until no violation is found, a “DRC clean” layout can be generated.
    Type: Application
    Filed: November 24, 2012
    Publication date: July 18, 2013
    Inventors: Chien-Fu Chung, Yuan-Kai Pei, Shyh-An Tang
  • Patent number: 8490029
    Abstract: A method of fabricating a device using a sequence of annealing processes is provided. More particularly, a logic NFET device fabricated using a low temperature anneal to eliminate dislocation defects, method of fabricating the NFET device and design structure is shown and described. The method includes forming a stress liner over a gate structure and subjecting the gate structure and stress liner to a low temperature anneal process to form a stacking force in single crystalline silicon near the gate structure as a way to memorized the stress effort. The method further includes stripping the stress liner from the gate structure and performing an activation anneal at high temperature on device.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Terence L. Kane, Shreesh Narasimha, Karen A. Nummy, Viorel Ontalus, Yun-Yu Wang
  • Patent number: 8490045
    Abstract: A method, system, and integrated circuit including selectively added timing margin. The method, for integrating statistical timing and automatic test pattern generation (ATPG) to selectively add timing margin in an integrated circuit, includes identifying, while a chip is in design, paths that are unable to be robustly tested “at speed” during manufacturing test, running statistical timing to calculate a margin to be applied to the paths, updating design specifications for margin to be applied to the paths, and optimizing chip logic based on updated design specifications.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: David E. Lackey, Chandramouili Visweswariah, Paul S. Zuchowski
  • Patent number: 8490035
    Abstract: Tensor transmission-line metamaterial unit cells are formed that allow the creation of any number of optic/electromagnetic devices. A desired electromagnetic distribution of the device is determined, from which effective material parameters capable of creating that desired distribution are obtained, for example, through a transformation optics/electromagnetics process. These effective material parameters are then linked to lumped or distributed circuit networks that achieve the desired distribution.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: July 16, 2013
    Assignee: The Regents of the University of Michigan
    Inventors: Anthony Grbic, Gurkan Gok
  • Patent number: 8490037
    Abstract: A method and an apparatus for tracking uncertain signals in the simulation of chip design are provided. The method comprises: generating a directed graph which contains sequential logic devices and IO devices from the netlist of chip design, wherein the directed graph illustrates the signal association among the sequential logic devices and IO devices; obtaining the signals related with the sequential logic devices and IO devices from the simulation results, wherein the signals contain a plurality of uncertain signals; and back tracing at least a part of the plurality of uncertain signals along the directed graph to determine the device which firstly generates an uncertain signal. The corresponding apparatus is also provided. With the above method and apparatus, uncertain signals can be traced and their source can be determined, which improves the debugging efficiency.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Liang Chen, Yufei Li, Yong Feng Pan, Jian Yang