Optimization Patents (Class 716/132)
  • Patent number: 10769090
    Abstract: An information processing apparatus includes: a programmable circuit including a plurality of reconfigurable regions in which logic is reconfigurable; and a processor coupled to the programmable circuit, the processor being configured to (a): execute an extraction process that includes extracting, from the plurality of reconfigurable regions, one or more installable regions in which any of a plurality of first circuits is installable, (b): execute a first determination process that includes determining whether each of a plurality of second circuits is installable in a first reconfigurable region, (c): execute a second determination process that includes determining a first installation circuit and a first installation region based on the determination executed by the first determination process, and (d): execute an installation process that includes installing the first installation circuit determined by the second determination process in the first installation region determined by the second determination p
    Type: Grant
    Filed: September 9, 2019
    Date of Patent: September 8, 2020
    Assignee: FUJITSU LIMITED
    Inventor: Hidetoshi Matsumura
  • Patent number: 10733332
    Abstract: A user-preference-enabling (UPE) method optimizes operations of a system based on user preferences. The operations of the system are modeled as a user-preference-based multi-objective optimization (MOO) problem having multiple object functions subject to a set of constraints. The set of constraints include system constraints and a wish list specifying a respective user-preferred range of values for one or more of the objective functions. The UPE method calculates a wish list feasible solution (WL-feasible solution) to the user-preference-based MOO problem. The UPE method can be performed iteratively to compute targeted Pareto-optimal solutions. The UPE method can be used in a hybrid method in combination with other numerical methods to reliably compute feasible solutions of both conventional MOO problems and user-preference-based MOO problems.
    Type: Grant
    Filed: June 8, 2017
    Date of Patent: August 4, 2020
    Assignee: Bigwood Technology, Inc.
    Inventors: Hsiao-Dong Chiang, Shuo Wang
  • Patent number: 10725727
    Abstract: A digital emulation of an analog device with tolerance modeling is disclosed. In operation, a model of an analog circuit is provided. The model includes the location of each individual element in the analog circuit. The model also includes a working value for each individual element as well as a tolerance range for each individual element. A randomized working value is then generated for one or more of each individual element based on the tolerance range and the working value. A digital emulation of the analog circuit is performed. The digital emulation uses the randomized working value for one or more of the each individual element and the working value for any remaining of each individual element. The digital emulation is then provided to a user for use in a digital environment.
    Type: Grant
    Filed: August 31, 2016
    Date of Patent: July 28, 2020
    Assignee: Brainworx Audio GmbH
    Inventors: Dirk Ulrich, Daniel Rabe, Reimund Dratwa
  • Patent number: 10726174
    Abstract: A system for simulating reliability of a circuit design includes: a first memory device, arranged to store a technology file, wherein the circuit design comprises a plurality of circuit cells, and the first memory device further stores a plurality of first failure rates corresponding to a first circuit cell in the plurality of circuit cells; a first simulating device, coupled to the first memory device, for generating a first specific failure rate of the first circuit cell according to the plurality of first failure rates and the technology file; and an operating device, coupled to the first simulating device, for generating a total failure rate of the circuit design according to the first specific failure rate.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: July 28, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chin-Shen Lin, Meng-Xiang Lee, Kuo-Nan Yang, Chung-Hsing Wang
  • Patent number: 10643014
    Abstract: Systems, methods, media, and other such embodiments described herein relate to generation of routing trees. One embodiment involves accessing a circuit design comprising an irregular sink arrangement. Different grid templates may be identified for assisting with balanced routings at different levels of a routing tree to connect the sinks of the circuit design. As part of such operations, costs for different routings using the different grid templates are calculated and compared. A lowest cost routing for each grid template are identified. These costs are normalized across different grid templates, and a lowest cost routing across all grid templates is selected. In various embodiments, various costs values based on sink pairing, isolated sinks, and node position for a next level of a routing tree are considered.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: May 5, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dirk Meyer, Zhuo Li
  • Patent number: 10635772
    Abstract: A method for designing a system on a target device includes generating a first netlist for a first version of the system after performing synthesis in a first compilation. Optimizations are performed on the first version of the system during placement and routing in the first compilation resulting in a second netlist. A third netlist is generated for a second version of the system after performing synthesis in a second compilation. A hybrid netlist is generated from the first, second, and third netlists. Incremental placement and routing are performed on portions of the hybrid netlist that are new to the first compilation.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: April 28, 2020
    Assignee: Altera Corporation
    Inventors: Junaid Asim Khan, Gabriel Quan, Ketan Padalia, Scott James Brissenden, Ryan Fung
  • Patent number: 10622267
    Abstract: Described herein are technologies to facilitate device fabrication, especially those that involve spin-on coatings of a substrate (e.g., wafer). More particularly, technologies described herein facilitate the planarization (i.e., flatness) of spin-on coatings during the device fabrication to form a uniformly planar film or layer on the substrate. This abstract itself is not intended to limit the scope of this patent. The scope of the present invention is pointed out in the appending claims.
    Type: Grant
    Filed: October 4, 2017
    Date of Patent: April 14, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Ryan L. Burns, Benjamen M. Rathsack, Mark H. Somervell, Makoto Muramatsu
  • Patent number: 10523048
    Abstract: A power supply covering both power sharing and power backup functions run in a more efficient and flexible way. The power supply adopts a power sharing converter coupled between a first bus terminal and a second bus terminal, so that if one of the bus terminals provides insufficient power, the other bus terminal kicks in by way of the power sharing converter to provide power support. In addition, a storage capacitor may also kick in to provide power support if one of the bus terminals provide insufficient power via or not via the power sharing converter.
    Type: Grant
    Filed: February 16, 2018
    Date of Patent: December 31, 2019
    Assignee: Monolithic Power Systems, Inc.
    Inventors: Pengjie Lai, Jian Jiang
  • Patent number: 10509223
    Abstract: A photometric system design methodology employs genetic algorithms to optimize the selection of optical elements for inclusion in the photometric system in order to improve system performance with respect to environmental conditions (i.e., to “ruggedize” the photometric system). The genetic algorithms utilize a multi-objective fitness function to evolve simulated optical element selection, which may be a combination of optical filters and integrated computational elements. The system may also output a size reduced database that serve as simulated candidate optical elements through global optimization, or may output a fixed number of simulated optical elements through conditional optimization for actual tool implementation and calibration analysis.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: December 17, 2019
    Assignee: Halliburton Energy Services, Inc.
    Inventors: Jing Shen, Christopher Michael Jones, Dingding Chen, Wei Zhang, David L. Perkins
  • Patent number: 10503858
    Abstract: Disclosed are techniques for implementing group legal placement on rows and grids for an electronic design. These techniques identify a group comprising a plurality of instances. A proxy is identified from the plurality of instances. The group is placed in a row region based in part or in whole upon a plurality of permissible characteristics for the proxy without considering permissible characteristics of one or more remaining instances in the group. A group legality may be performed to determine whether the group is placed in the row region in a group legal manner.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: December 10, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Henry Yu, Kuoching Lin, Hui Xu
  • Patent number: 10452808
    Abstract: A non-transitory computer-readable storage medium storing an antenna design program that causes a computer to execute a process, the process including acquiring a target characteristic value of a target antenna when a value of a structure parameter is a predetermined value, based on the predetermined value of the structure parameter, the target characteristic value, and a relation, with respect to a reference antenna, between a value of a structure parameter and a characteristic value, estimating the relation with respect to the target antenna, the reference antenna having a same shape feature as the target antenna, an antenna type of the reference antenna being different from the target antenna, determining a value of the structure parameter for the target antenna such that the characteristic value of the target antenna satisfies a demanded specification based on the estimated relation, and outputting the determined value of the structure parameter.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: October 22, 2019
    Assignee: Fujitsu Limited
    Inventors: Yohei Koga, Manabu Kai, Takashi Yamagajo, Yasumitsu Ban, Hiroyuki Egawa, Kan Fujieda
  • Patent number: 10340903
    Abstract: Provided is a semiconductor device including a target circuit, a monitoring circuit, and a voltage controller. The target circuit includes a transistor. The monitoring circuit is configured to measure a temperature of the target circuit or measure a delay time between an input and an output of the target circuit. The voltage controller is configured to adjust a driving voltage for driving the target circuit or a back-bias voltage for adjusting a threshold voltage of the transistor by referring to at least one of the temperature and the delay time. As the temperature increases, the delay time decreases.
    Type: Grant
    Filed: October 5, 2017
    Date of Patent: July 2, 2019
    Assignee: ELECTRONICS & TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventors: Woojoo Lee, Jae-Jin Lee, Sukho Lee, Kyuseung Han, Sang Pil Kim, Young Hwan Bae
  • Patent number: 10261423
    Abstract: A method of determining a configuration of a projection system for a lithographic apparatus, wherein manipulators of the projection system manipulate optical elements so as to adjust its optical properties, the method comprising: receiving dependencies of the optical properties of the projection system on a configuration of the manipulators, receiving a plurality of constraints of the manipulators, formulating a cost function, wherein the cost function represents a difference between the optical properties of the projection system for a given configuration of the manipulators and desired optical properties, wherein the cost function is formulated using the dependency of the optical properties on the configuration of the manipulators, scaling the cost function into a scaled variable space, wherein the scaling is performed by using the plurality of constraints and finding a solution configuration of the manipulators which substantially minimizes the scaled cost function subject to satisfying the plurality of co
    Type: Grant
    Filed: May 30, 2016
    Date of Patent: April 16, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Lense Hendrik-Jan Maria Swaenen, Johannes Jacobus Matheus Baselmans, Bogathi Vishnu Vardhana Reddy, Patricius Aloysius Jacobus Tinnemans, Beeri Nativ
  • Patent number: 10165720
    Abstract: A production optimization device for a component mounting line executes, an intra present property optimization process of optimizing production of the component mounting line under conditions in which a selection range of members to use in the production is restricted to within a range of members actually owned by a user (present property), and a no-property-restriction optimization process of optimizing the production of the component mounting line under conditions in which restriction of the selection range of the members to use in the production is removed for at least a subset of the members are executed, and optimization results of the intra present property optimization process are displayed on a display device compared to optimization results of the no-property-restriction optimization process.
    Type: Grant
    Filed: August 22, 2013
    Date of Patent: December 25, 2018
    Assignee: FUJI CORPORATION
    Inventor: Teruyuki Ohashi
  • Patent number: 10073934
    Abstract: Electronic design automation systems, methods, and media are presented for characterizing on-chip variation of circuit elements in a circuit design using statistical values including skew, and for performing statistical static timing analysis using these statistical values. One embodiment models delay characteristics under certain operating conditions for circuit elements with asymmetric (e.g., non-Gaussian) probability density functions using normalized skewness. This information is then accessed in other embodiments, and scaled to generate scaled timing values describing the statistical timing characteristics of a circuit element or block estimated from the skew-based values. These values may then be used for further timing analysis.
    Type: Grant
    Filed: October 11, 2016
    Date of Patent: September 11, 2018
    Assignee: Cadence Design Systems, Inc.
    Inventors: Igor Keller, Praveen Ghanta, Arun Kumar Mishra
  • Patent number: 10048594
    Abstract: Methods and systems for PS-CAR photoresist simulation are described. In an embodiment, a method includes calibrating initial conditions for a simulation of at least one process parameter of a lithography process using a radiation-sensitive material. In such an embodiment, the radiation-sensitive material includes a first light wavelength activation threshold that controls the generation of acid to a first acid concentration in the radiation-sensitive material and controls generation of photosensitizer molecules in the radiation-sensitive material, and a second light wavelength activation threshold that can excite the photosensitizer molecules in the radiation-sensitive material that results in the acid comprising a second acid concentration that is greater than the first acid concentration, the second light wavelength being different from the first light wavelength. Further, the method may include performing a lithography process using the previously-determined at least one process parameter.
    Type: Grant
    Filed: February 19, 2016
    Date of Patent: August 14, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Michael Carcasi, Mark Somervell, Carlos Fonseca
  • Patent number: 10042965
    Abstract: A method and system for optimizing state assignments for a finite state machine. The method generates a random initial state assignment for each of a plurality of states of the finite state machine, determines an initial cost associated with the random initial state assignments, identifies a code swap to explore as a function of a code swap probability. Further, the method calculates a cost for the code swap when one or more criteria is satisfied, updates the code swap probability as a function of the cost of the code swap and a best cost, performs the code swap when the cost of the swap is smaller than the best cost and/or a current cost to optimize the state assignments, and outputs optimized state assignments.
    Type: Grant
    Filed: July 21, 2016
    Date of Patent: August 7, 2018
    Assignee: King Fahd University of Petroleum and Minerals
    Inventor: Aiman Helmi El-Maleh
  • Patent number: 10014320
    Abstract: A semiconductor integrated circuit device having a control signal system for avoiding failure to check an indefinite signal propagation prevention circuit, for facilitating a check included in an automated tool, and for facilitating a power shutdown control inside a chip. In the semiconductor integrated circuit device, power shutdown priorities are provided by independent power domains (Area A to Area I). A method for preventing a power domain having a lower priority from being turned OFF when a circuit having a high priority is turned ON is also provided.
    Type: Grant
    Filed: August 25, 2016
    Date of Patent: July 3, 2018
    Assignee: Renesas Electronics Corporation
    Inventors: Yusuke Kanno, Hiroyuki Mizuno, Yoshihiko Yasu, Kenji Hirose, Takahiro Irita
  • Patent number: 9934325
    Abstract: A method and apparatus distributes graph data in a distributed computing environment. The method of distributing graph data in a distributed computing environment includes searching graph data for common sub-graphs, generating a partition by merging the common sub-graphs if, as a result of the search, the common sub-graphs are present and generating a partition by arranging the graph data if, as a result of the search, the common sub-graphs are not present, and calculating a processing cost of the graph data and allocating the partition based on the calculated processing cost.
    Type: Grant
    Filed: December 16, 2014
    Date of Patent: April 3, 2018
    Assignee: Korean Institute of Science and Technology Information
    Inventors: Jung Ho Um, Taehong Kim, Chang Hoo Jeong, Seungwoo Lee, Hanmin Jung, Won Kyung Sung
  • Patent number: 9857676
    Abstract: A system and method for optimizing (designing) a mask pattern, in which SMO and OPC are collaboratively used to exert a sufficient collaborative effect or are appropriately used in different manners. The method for designing a source and a mask for lithography includes a step (S1) of selecting a set of patterns; a step of performing source mask optimization (SMO) using the set of patterns, under an optical proximity correction (OPC) restriction rule which is used for selectively restricting shifting of an edge position of a polygon when OPC is applied to the set of patterns; and a step (S3, S4) of determining a layout of the mask for lithography, by applying OPC to all patterns constituting the mask for lithography using the source optimized through the SMO.
    Type: Grant
    Filed: May 9, 2014
    Date of Patent: January 2, 2018
    Assignee: International Business Machines Corporation
    Inventors: Tadanobu Inoue, David O. Melville, Alan E. Rosenbluth, Masaharu Sakamoto, Kehan Tian
  • Patent number: 9852235
    Abstract: Definition of a design space and an objective space for conducting multi-objective design optimization of a product is received in a computer system having a design optimization application module installed thereon. Design space is defined by design variables while objective space is defined by design objectives. First set of designs in the design space is selected. Each of the first set is evaluated in the objective space for non-dominance. Design space is partitioned into first and second regions using a multi-dimensional space division scheme (e.g., SVM). The first region is part of the design space containing all of the non-dominated design alternatives while the second region contains remaining of the design space. Second set of designs is selected within the first region. Each of the second set and existing non-dominated design alternatives are evaluated for non-dominance. Multi-objective optimization repeats the partition and evaluation until an end condition is reached.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: December 26, 2017
    Assignee: Livermore Software Technology Corp.
    Inventor: Anirban Basudhar
  • Patent number: 9792400
    Abstract: System and method of determining flip-flop counts of interconnects of a physical layout during integrated circuit (IC) design. The outputs of each logic block are defined as primary inputs, and the inputs of each logic block are defined as primary outputs. Each interconnect is traversed from a primary input a primary output to identify the flip-flops and determine the flip-flop count. If an interconnect has a greater flip-flop count than an RTL estimated count, measures are taken to reduce the need for flip-flops with the current routing design. If the interconnect has a smaller flip-flop count than an RTL estimated count, additional flip-flops are inserted.
    Type: Grant
    Filed: March 31, 2015
    Date of Patent: October 17, 2017
    Assignee: Cavium, Inc.
    Inventors: Chirinjeev Singh, Nikhil Jayakumar, Weihuang Wang, Weinan Ma, Daman Ahluwalia
  • Patent number: 9734103
    Abstract: Systems and methods for transforming a Central Processing Unit (CPU) socket into a memory and/or Input/Output (I/O) expander. In an illustrative, non-limiting embodiment, an Information Handling System (IHS) may include a plurality of CPU sockets, each of the CPU sockets having one or more cores, and each of the one or more cores being associated with a respective one or more electronic circuits, the one or more electronic circuits including at least one of: a memory controller or an input/output (I/O) controller; and a Basic Input/Output System (BIOS) circuit coupled to the plurality of CPU sockets, the BIOS circuit having access to program instructions that, upon execution by the BIOS, cause the IHS to: initialize the plurality of CPU sockets; and report an electronic circuit associated to a first core of a first CPU socket as being instead associated with a second core of a second CPU socket.
    Type: Grant
    Filed: January 25, 2015
    Date of Patent: August 15, 2017
    Assignee: Dell Products, L.P.
    Inventor: Mukund P. Khatri
  • Patent number: 9727686
    Abstract: The invention relates to a method for reducing the number of flip-flops in a VLSI design that require data retention, thereby eliminating the respective backup cells for those flip flops, the method comprises the steps of: (a) defining one or more criteria for non-essentiality of backup cells! (b) during the physical design stage, analyzing the VLSI design based on said one or more criteria for non-essentiality, and finding those flip-flops that meet these criteria, wherein said analysis is performed at the gate level, independent from any higher level representation of the design; and (c) eliminating from the VLSI design those backup cells for all non-essential flip-flops that meet one or more of said criteria for non-essentiality, thereby leaving in the design only those backup cells for those flip-flops that do not meet any of said criteria.
    Type: Grant
    Filed: January 2, 2014
    Date of Patent: August 8, 2017
    Assignee: B.G. Negev Technologies and Applications LTD.
    Inventors: Shlomo Greenberg, Evgeny Paperno, Yossi Rabinowicz, Ron Tsechanski, Erez Manor, Ori Weber
  • Patent number: 9681455
    Abstract: Example embodiments are directed to methods of reducing interference in a communication system. In at least one example embodiment, a method includes first determining, by a first transmitter having a multi-directional antenna configured to produce a plurality of beams, at least one interference level of at least one interfering beam of a plurality of beams of at least one transmitter in the communication system, second determining a transmitting beam pattern based on the interference level, the transmitting beam pattern indicating a sequence of illuminating the plurality of beams at corresponding time slots, third determining a fractional frequency reuse pattern based on the transmitting beam pattern, and transmitting data based on the transmitting beam pattern and the frequency reuse pattern.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: June 13, 2017
    Assignee: Alcatel Lucent
    Inventors: Kai Yang, Chan-Byoung Chae, Doru Calin, Denis Rouffet, Simon Yiu
  • Patent number: 9601478
    Abstract: An integrated circuit (IC) semiconductor device has a high oxide definition (OD) density region, a low OD density region adjacent to the high OD density region, and dummy cells in the high OD density region and the low OD density region to smooth a density gradient between the high OD density region and the low OD density region.
    Type: Grant
    Filed: February 17, 2016
    Date of Patent: March 21, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Lin Chuang, Chun-Cheng Ku, Chin-Her Chien, Wei-Pin Changchien
  • Patent number: 9553581
    Abstract: A multi-module integrated circuit (IC) can be configured in different types of packages having different modules enabled or disabled. A module that can be disabled has driven circuitry that is known a priori to have a low-power input vector that places the driven circuitry into a low leakage power state. The module also has driving circuitry with one or more package-aware cells. The IC has a package-aware controller that generates control signals for the package-aware cells that ensure that the outputs from the package-aware cells are forced to particular values (i.e., either logical-0 or logical-1) that cause the low power input vector to be applied to the driven circuitry when the IC is assembled in a package in which the module is disabled. In this way, module leakage power is reduced for package types in which certain modules are disabled.
    Type: Grant
    Filed: November 1, 2015
    Date of Patent: January 24, 2017
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Zhe Ge, Zhiwei Lu, Miaolin Tan
  • Patent number: 9502559
    Abstract: The present disclosure relates to method of forming a transistor device having epitaxial source and drain regions with dislocation stress memorization (DSM) regions that provide stress to an epitaxial channel region, and an associated device. The method forms a first dislocation stress memorization (DSM) region and a second DSM region having stressed lattices within a substrate. The substrate is selectively etched to form a source cavity and a drain cavity extending from an upper surface of the substrate to positions contacting the first DSM region and the second DSM region. An epitaxial source is formed within the source cavity and an epitaxial drain region is formed within the drain cavity. A gate structure is formed over the substrate at a location laterally between the epitaxial source region and the epitaxial drain region.
    Type: Grant
    Filed: October 12, 2015
    Date of Patent: November 22, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Hsing Yu, Shih-Syuan Huang, Yi-Ming Sheu, Ken-Ichi Goto
  • Patent number: 9477803
    Abstract: A method of generating a techfile corresponding to a predetermined fabrication process is disclosed. The method includes determining a typical value and a corner variation value usable to model an electrical characteristic of a layer of back end of line (BEOL) features to be fabricated by the predetermined fabrication process, based on measurement of one or more sample integrated circuit chips fabricated by the predetermined fabrication process. A reduced variation value is calculated based on the corner variation value and a scaling factor. The techfile is generated based on the typical value and the reduced variation value.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: October 25, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Hsing Wang, King-Ho Tam, Yen-Pin Chen, Wen-Hao Chen, Chung-Kai Lin, Chih-Hsiang Yao
  • Patent number: 9470755
    Abstract: Methods and computer-readable media for effecting physically efficient scans of integrated circuit designs may include selecting a two-dimensional grid size for exposure to the method, the two-dimensional grid having a size that includes a first side length, a second side length, and a number of flops. The method is performed to select a two-dimensional grid size that maximizes compression efficiency and limit wiring congestion on the IC. In one aspect, the method may be performed on each region of the grid that maintains one of a respective first side length and a respective second side length greater than one, including selecting a larger side, determining if the larger side is odd or even, and dividing the grid along the larger side into two regions each having a proportion of the flops. The scans of the resulting regions are efficient, and consequently facilitate integrated circuit design and subsequent manufacture.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: October 18, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventors: Brian Edward Foutz, Steev Wilcox, Vivek Chickermane, Krishna Vijaya Chakravadhanula, Paul Alexander Cunningham
  • Patent number: 9466466
    Abstract: Methods, systems, and computer programs are presented for optimizing Critical Dimension Uniformity (CDU) during the processing of a substrate. One method includes identifying an operation of a recipe for processing a substrate within a chamber, the operation being configured to provide a pulsed radio frequency (RF) to the chamber. A plurality of tests are performed in the chamber for the operation utilizing the pulsed RF, each test having a duty cycle for the pulsed RF selected from a plurality of RF duty cycles. The method also includes for each test, measuring the critical dimension (CD) and the CDU for features in the substrate, and selecting a first duty cycle from the plurality of RF duty cycles based on the measured CDs and CDUs for the plurality of tests. The method also includes setting the selected first duty cycle in the operation of the recipe for processing the substrate.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: October 11, 2016
    Assignee: Lam Research Corporation
    Inventors: Qinghua Zhong, Ryan Martin, Ganesh Upadhyaya
  • Patent number: 9411919
    Abstract: A methodology for the simulation of semiconductor memory devices that exhibits improved accuracy and speed, and the apparatus performing the methodology are disclosed. Embodiments may include determining a state of a bitcell of an integrated circuit (IC) design, determining a first threshold voltage for the bitcell based on the state of the bitcell, and simulating electrical characteristics of the bitcell according to the first threshold voltage to verify the IC design.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: August 9, 2016
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Zhiqi Huang, Yoke Weng Tam, Benjamin Lau, Bai Yen Nguyen
  • Patent number: 9310829
    Abstract: A system comprises a first plurality of flip-flop circuits, a second plurality of flip-flop circuits, and a gating control module. At a first processor frequency, gating of clock signals is enabled for the first and second plurality of flip-flop circuits. At a second processor frequency, gating of a first of the clock signals is disabled for the first plurality of flip-flop circuits and gating of a second of the clock signals is enabled for the second plurality of flip-flop circuits.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: April 12, 2016
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Andrew C. Russell
  • Patent number: 9171116
    Abstract: An apparatus and method are provided for removing redundant logic in a logic design of an integrated circuit (IC) design. The apparatus and method optimizes the integrated circuit by selecting stuck-at constant registers in the logic design, propagating a constant output value of the stuck-at constant registers across output nets of the stuck-at constant registers, identifying redundant logic in the logic design based on the propagation of the constant input value across the output net of the stuck-at constant register, and removing the redundant logic in the logic design.
    Type: Grant
    Filed: September 16, 2014
    Date of Patent: October 27, 2015
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Peng Zhang, Nan Zhuang, Yuhua Yang
  • Patent number: 9157956
    Abstract: A plurality of digital circuits are manufactured from an identical circuit design. A power controller is operatively connected to the digital circuits, and a non-transitory storage medium is operatively connected to the power controller. The digital circuits are classified into different voltage bins, and each of the voltage bins has a current leakage limit. Each of the digital circuits has been previously tested to operate within a corresponding current leakage limit of a corresponding voltage bin into which each of the digital circuits has been classified. The non-transitory storage medium stores boundaries of the voltage bins as speed-binning test data. The power controller controls power-supply signals applied differently for each of the digital circuits based on which bin each of the digital circuit has been classified and the speed-binning test data.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: October 13, 2015
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Jeanne P. Bickford, Eric A. Foreman, Peter A. Habitz, Jeffrey G. Hemmett, Clarence R. Ogilvie, Tad J. Wilder, Vladimir Zolotov
  • Patent number: 9098635
    Abstract: A system and method is described in which the state of the art in automated software applications is significantly improved. According to some approaches, interface testing is implemented and based upon a verification language and a verification environment. The system and method support the concepts of constrained random test generation, coverage, constrained random generation, and dynamic checks.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: August 4, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yaron Peri-Glass, Don J. O'Riordan, Erica Brand
  • Patent number: 9069925
    Abstract: A method for constant power density scaling in MOSFETs is provided. A method for manufacturing an integrated circuit includes computing fixed scaling factors for a first fabrication process based on a second fabrication process, computing settable scaling factors for the integrated circuit to be fabricated using the first fabrication process, determining parameters of the integrated circuit based on the settable scaling factors, and manufacturing the integrated circuit using the determined parameters. The first fabrication process creates devices having a smaller device dimension than the second fabrication process and the settable scaling factors are set based on the fixed scaling factors.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: June 30, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Clement Hsingjen Wann
  • Patent number: 9069926
    Abstract: Methods, layouts and chip design layouts that use annotations for communicating gate-length biasing amounts to post-layout tools are disclosed. One method includes receiving a chip design layout designed to includes select ones of a plurality of nominal cell layouts and an annotated cell layout. The chip design layout is defined by a plurality of layers and the plurality of nominal cell layouts define transistors, wherein each of the plurality of nominal cell layouts define nominal length transistors, and the annotated cell layout also defines transistors. The annotated cell layout is associated with an annotation layer that identifies a gate-length biasing to be applied to at least one transistor of the annotated cell layout. The gate-length biasing identifies an amount of change for a gate length and not width-sizing of a gate width of the at least one transistor of the annotated cell layout. The annotation layer is used to communicate design-specific directives that require implementation.
    Type: Grant
    Filed: May 5, 2014
    Date of Patent: June 30, 2015
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 9038013
    Abstract: Methods and apparatuses for circuit design are described. In one embodiment, the method comprises determining a distribution of nets of a circuit, the distribution of the nets comprising numbers of blocks that each of the nets has in each of a plurality of partitions of the circuit in a partitioning solution, moving a first block of the circuit from a source partition to a destination partition to modify the partitioning solution, and updating the distribution of the nets after the moving.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: May 19, 2015
    Assignee: Synopsys, Inc.
    Inventors: Awartika Pandey, Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 9032355
    Abstract: A method for designing a transformer in an integrated circuit includes receiving one or more desired characteristics of the transformer from user input and iteratively determining a design solution for the transformer through one or more simulations and modifications using a rule-set. The method combines the one or more desired characteristics with other preset characteristics of the transformer or the integrated circuit. A first model of the transformer is defined with typical load impedances and simulated having the combined characteristics to determine performance. Results of the simulation are processed to calculate performance with the load impedances specified by the user. The results are further processed to obtain a mathematical model that includes tuning capacitors.
    Type: Grant
    Filed: October 3, 2013
    Date of Patent: May 12, 2015
    Assignee: Helic S.A.
    Inventors: Sotirios Bantas, Konstantinos Karouzakis, Stefanos Stefanou, Apostolos Liapis, Labros Kokkalas
  • Patent number: 9032354
    Abstract: A leakage power control vector is loaded into existing test scan chain elements for application to circuit elements of a circuit in which the leakage currents are to be controlled. The vector is designed to configure the circuit elements into states in which leakage currents are reduced. A multiplexer selects the power control vector for loading into the scan chain elements, and a clock generator clocks the configuration vector into the scan chain elements. A sleep mode detector may be provided to configure the multiplexer to select the power control vector and to operate the clock generator to clock the power control vector into the scan chain elements when a sleep mode of the circuit is detected.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: May 12, 2015
    Assignee: STMicroelectronics, Inc.
    Inventor: Razak Hossain
  • Patent number: 9026980
    Abstract: In one aspect, a technique for performing signal activity extraction in an integrated circuit an integrated circuit is described. The integrated circuit includes multiple nodes. The technique includes compiling a design of the integrated circuit, estimating signal activities at the nodes, determining a node of interest from the nodes, and connecting a signal activity circuit to the node of interest. The determination of the node of interest and the connection of the signal activity circuit to the node of interest first compared to the remaining nodes of the integrated circuit improves efficiency in determining nodes of the integrated circuit at which signals can be analyzed first. Such signal activity extraction may involve power analysis and power optimization.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: May 5, 2015
    Assignee: Altera Corporation
    Inventors: David Ian M. Milton, Alexander Grbic
  • Patent number: 9026975
    Abstract: A semiconductor integrated circuit designing method capable of minimizing a parasitic capacitance generated by an overhead in conductive lines, especially a gate line, a semiconductor integrated circuit according to the designing method, and a fabricating method thereof are provided. A method of designing a semiconductor integrated circuit having a FinFET architecture, includes: performing a pre-simulation of the semiconductor integrated circuit to be designed; designing a layout of components of the semiconductor integrated circuit based on a result of the pre-simulation, the components comprising first and second device areas and a first conductive line extending across the first and second device areas; modifying a first cutting area, that is arranged between the first and second device areas and electrically cuts the first conductive line, according to at least one design rule to minimize an overhead of the first conductive line created by the first cutting area.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: May 5, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-joong Song, Pil-un Ko, Gyu-hong Kim, Jong-hoon Jung
  • Patent number: 9026964
    Abstract: A method for modeling a circuit comprising storing a plurality of design variable ranges for a circuit component in a non-transient electronic data memory. Performing transistor-level simulations at a plurality of sample points for the circuit component to generate a plurality of design variable samples for the circuit component. Storing a neural network architecture in the non-transient electronic data memory that models the plurality of design variable samples for the circuit component. Storing a performance metric metamodel and a circuit parameter metamodel generated using Verilog-AMS.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: May 5, 2015
    Assignee: University of North Texas
    Inventors: Saraju P. Mohanty, Elias Kougianos, Geng Zheng
  • Patent number: 9026978
    Abstract: A system, method, and computer program product for automatically optimizing circuit designs. A graphical user interface based environment allows arbitrary selection of a circuit design region to be optimized based on physical layout, without regard for logical hierarchy. Embodiments analyze circuit paths crossing optimization region boundaries and replace externally connected circuitry with an interface logic model describing such circuitry from the optimization region boundary to a first register occurrence. A reduced netlist spans the regional circuitry and the modeled external circuitry. Embodiments optimize the reduced netlist under design constraints applicable to the full circuit design. Changes to the original circuit design made by the optimization are tangibly saved as engineering change orders. The optimization process may be applied to other regions, including via parallel execution by multiple processors.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: May 5, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dongzi Liu, Yi Qian, Wanshuan Liu, Pinhong Chen, WenHsing Tsai, Yanhui Wang
  • Patent number: 9021407
    Abstract: A multiple-patterned semiconductor device is provided. The semiconductor device includes one or more layers with signal tracks defined by masks and a structure for transferring a signal between signal tracks and repowering the signal.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: David H. Allen, Douglas M. Dewanz, David P. Paulsen, John E. Sheets, II
  • Patent number: 9018046
    Abstract: An area efficient distributed device for integrated voltage regulators comprising at least one filler cell coupled between a pair of PADS on I/O rail of a chip and at least one additional filler cell having small size portion of said device is coupled to said I/O rails for distributing portions of said device on the periphery of said chip. The device is coupled as small size portion on the lower portion of said second filler cell for distributing said device on the periphery of said chip and providing maximal area utilization.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 28, 2015
    Assignees: STMicroelectronics International N.V., STMicroelectronics S.r.l.
    Inventors: Joshipura Jwalant, Nitin Bansal, Amit Katyal, Massimiliano Picca
  • Publication number: 20150113497
    Abstract: A method of constructing an RF filter comprises designing an RF filter that includes a plurality of resonant elements disposed, a plurality of non-resonant elements coupling the resonant elements together to form a stop band having a plurality of transmission zeroes corresponding to respective frequencies of the resonant elements, and a sub-band between the transmission zeroes. The non-resonant elements comprise a variable non-resonant element for selectively introducing a reflection zero within the stop band to create a pass band in the sub-band. The method further comprises changing the order in which the resonant elements are disposed along the signal transmission path to create a plurality of filter solutions, computing a performance parameter for each of the filter solutions, comparing the performance parameters to each other, selecting one of the filter solutions based on the comparison of the computed performance parameters, and constructing the RF filter using the selected filter solution.
    Type: Application
    Filed: December 30, 2014
    Publication date: April 23, 2015
    Inventors: Genichi Tsuzuki, Balam A. Willemsen
  • Publication number: 20150113496
    Abstract: Embodiments of the disclosure relate to methods for facilitating the design of an integrated circuit (IC) using thermally aware pin assignment and device placement. The method includes creating a layout for the IC, the layout including a plurality of macros each having devices and pin assignments and revising the layout for the IC by repositioning a macro or a device to meet a timing requirement of the IC. The method also includes creating a thermal map of the IC based on the layout for the IC and a workload model for the IC and identifying at least one thermally critical pin assignment based on the thermal map of the IC. The method includes revising the layout by repositioning a thermally critical pin assignment and a device.
    Type: Application
    Filed: October 17, 2013
    Publication date: April 23, 2015
    Applicant: International Business Machines Corporation
    Inventors: Randall J. Darden, Shyam Ramji, Sourav Saha
  • Patent number: 9009642
    Abstract: An apparatus includes a memory device that includes instructions for analyzing RTL code to determine congestion of a logic design without completing a synthesis phase of a chip design process. The instructions can include receiving RTL code, and identifying a statement in the RTL code. The instructions can include determining that the statement in the RTL code corresponds to a structured device group in a component library, wherein the structured device group includes logic devices configured to occupy an area in a predefined spatial arrangement and with predetermined connectivity between the logic devices. The instructions can include determining congestion associated with the structured device group by performing operations including determining a congestion figure. The instructions can also include providing, based on the congestion figure, an indication of the congestion associated with the structured device group.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Sourav Saha, Dilip K. Jha