For Power Patents (Class 716/133)
-
Patent number: 8938705Abstract: A retention synthesis application provides a means of analyzing a circuit design, functional test sequences, and the associated power specification to identify registers that do not need retention when a block is powered down. Reducing the number of retention registers reduces power consumption and chip area. The retention synthesis application is based, at least in part, upon symbolic simulation. In symbolic simulation, a symbol is used to represent a value that can be either 0 or 1 and the propagation of symbols is traced through the simulation.Type: GrantFiled: June 1, 2014Date of Patent: January 20, 2015Assignee: Avery Design Systems, Inc.Inventors: Kai-Hui Chang, Yen-Ting Liu, Christopher S. Browy, Chi-Lai Huang
-
Patent number: 8935651Abstract: In one embodiment of the invention, a method of logic synthesis is disclosed. The method includes generating a plurality of design architecture alternatives for circuit logic of a data path cluster; saving the plurality of design architecture alternatives; and evaluating the plurality of design architecture alternatives in response to design constraints to select a preferred design architecture.Type: GrantFiled: December 28, 2007Date of Patent: January 13, 2015Assignee: Cadence Design Systems, Inc.Inventors: Tsuwei Ku, Samir Agrawal, Jean-Charles Giomi
-
Publication number: 20150009084Abstract: An electromagnetic band gap device is disclosed that includes a dielectric support of which one face is metallized and of which a second face includes a plurality of non-adjoining conducting elements. Several conducting elements are linked together pairwise by a resistive element in the electromagnetic band gap device. At least two of the conducting elements are electrically insulated from one another and at least two resistive elements exhibit a different resistance value.Type: ApplicationFiled: February 19, 2013Publication date: January 8, 2015Inventors: Yonnec'h Coupa, Michel Jousset, Stephane Mallegol
-
Patent number: 8930875Abstract: Embodiments of present invention include a method and apparatus of estimating power supply of a 3D IC. The method particularly includes obtaining current information and layout information of circuit modules contained in a specific region of the 3D IC, gridding the specific region so as to form at least one three-dimensional grid having a plurality of side edges along chip stacking direction of the 3D IC, determining current of at least one of the plurality of side edges based on the current information and layout information of the circuit modules, and estimating power supply of the 3D IC based on the current of the at least one side edge. With the method and apparatus embodiments of the invention, power supply of a 3D IC may be effectively estimated and analyzed.Type: GrantFiled: November 5, 2013Date of Patent: January 6, 2015Assignee: International Business Machines CorporationInventor: Wen Yin
-
Patent number: 8924906Abstract: A computer-implemented method of determining an attribute of a circuit includes using a computationally expensive technique to simulate the attribute (such as timing delay or slew) of a portion of the circuit, at predetermined values of various parameters (e.g. nominal values of channel length or metal width), to obtain at least a first value of the attribute. The method also uses a computationally inexpensive technique to estimate the same attribute, thereby to obtain at least a second value which is less accurate than the first value. Then the computationally inexpensive technique is repeatedly used on other values of the parameter(s), to obtain a number of additional second values of the attribute. Applying to the additional second values, a function obtained by calibrating the at least one second value to the at least one first value, can yield calibrated estimates very quickly, which represent the attribute's variation relatively accurately.Type: GrantFiled: September 3, 2013Date of Patent: December 30, 2014Assignee: Synopsys, Inc.Inventors: Nahmsuk Oh, Peivand Fallah-Tehrani, Alireza Kasnavi, Subramanyam Sripada
-
Patent number: 8924898Abstract: An automated processor design tool uses a description of customized processor instruction set extensions in a standardized language to develop a configurable definition of a target instruction set, a Hardware Description Language description of circuitry necessary to implement the instruction set, and development tools such as a compiler, assembler, debugger and simulator which can be used to develop applications for the processor and to verify it. Implementation of the processor circuitry can be optimized for various criteria such as area, power consumption, speed and the like. Once a processor configuration is developed, it can be tested and inputs to the system modified to iteratively optimize the processor implementation. By providing a constrained domain of extensions and optimizations, the process can be automated to a high degree, thereby facilitating fast and reliable development.Type: GrantFiled: June 9, 2008Date of Patent: December 30, 2014Assignee: Cadence Design Systems, Inc.Inventors: Earl A. Killian, Ricardo E. Gonzalez, Ashish B. Dixit, Monica Lam, Walter D. Lichtenstein, Christopher Rowen, John C. Ruttenberg, Robert P. Wilson, Albert Ren-Rui Wang, Dror Eliezer Maydan
-
Publication number: 20140375380Abstract: According to a method herein, a portion of an electronic circuit is identified. The electronic circuit comprises logic circuitry. The portion of the electronic circuit is designed in at least two versions. Each of the at least two versions is evaluated using a plurality of operating conditions. The current operating conditions are determined. One version of the at least two versions is identified as a selected version based on the performance under the current operating conditions. The selected version has relatively optimal performance based on at least one of clock frequency, supply voltage, and power limit. The selected version is activated for use in the portion of the electronic circuit. The remaining versions of the at least two versions are deactivated.Type: ApplicationFiled: June 19, 2013Publication date: December 25, 2014Inventors: John M. Cohn, David J. Hathaway, Sridhar H. Rangarajan, Diyanesh Babu C. Vidyapoornachary
-
Patent number: 8914764Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.Type: GrantFiled: June 18, 2012Date of Patent: December 16, 2014Assignee: International Business Machines CorporationInventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
-
Patent number: 8914765Abstract: A method of generating a power grid to supply current to a plurality of cells of an integrated circuit includes routing an initial power grid representing a power usage estimate for the plurality of cells. The method also includes performing power grid analysis prior to routing of signal wires to make a determination of whether the initial power grid meets power requirements of the integrated circuit, and selectively modifying portions of the initial power grid based on the performing the power grid analysis to generate the power grid.Type: GrantFiled: January 15, 2013Date of Patent: December 16, 2014Assignee: International Business Machines CorporationInventors: Leon J. Sigal, James D. Warnock
-
Patent number: 8910106Abstract: A capacitor arrangement assisting method wherein data entered by a user, such as the width w of a power supply wiring, the thickness h of a dielectric between the power supply wiring and a ground plane, the ESLcap of a capacitor, and a target frequency fT and a target impedance ZT of an IC, are received, the maximum allowable wiring length lmax of the power supply wiring is calculated on the basis of the received width w of the power supply wiring, the thickness h of the dielectric, the ESLcap of the capacitor, and the target impedance ZT of the IC at the target frequency fT, and the calculated maximum allowable wiring length lmax is displayed.Type: GrantFiled: December 3, 2012Date of Patent: December 9, 2014Assignee: Murata Manufacturing Co., Ltd.Inventors: Hidetoshi Yamamoto, Yusuke Isozumi, Kota Saito
-
Patent number: 8898616Abstract: Software controlled transistor body bias. A target frequency is accessed. Using software, transistor body-biasing values are determined for the target frequency in order to enhance a characteristic of a circuit. The bodies of the transistors are biased based on the body-biasing values, wherein the characteristic is enhanced.Type: GrantFiled: January 14, 2013Date of Patent: November 25, 2014Inventors: David R. Ditzel, James B. Burr
-
Patent number: 8898603Abstract: A method for processing signals in a system includes deriving a signal activity for a signal from a timing requirement assignment for the signal.Type: GrantFiled: May 1, 2006Date of Patent: November 25, 2014Assignee: Altera CorporationInventors: David Neto, Vaughn Betz, Jennifer Farrugia, Meghal Varia
-
Patent number: 8898604Abstract: A processor-implemented method for selective Q-gating flip-flops in a plurality of flip-flops contained in a design is provided. The method may include determining a maximum width, a maximum depth, and a maximum congestion value in the design and determining a relative width, a relative depth, and a relative congestion value for each of the plurality of flip-flops in the design. The method may further include determining grade values for each of the plurality of flip-flops in the design based on a ratio between the relative width, the relative depth and the relative congestion value, and the maximum width, the maximum depth, and the maximum congestion value, respectively and determining an overall summed value for each of the plurality of flip-flops. Then the method may sort the plurality of flip-flops based on the overall summed value for the plurality of flip-flops according to magnitude.Type: GrantFiled: July 16, 2013Date of Patent: November 25, 2014Assignee: International Business Machines CorporationInventors: Raghu G. GopalaKrishnaSetty, Kshitij Kulshreshtha, Balaji Upputuri
-
Patent number: 8887118Abstract: Recycling energy in a clock distribution network is provided. A method includes creating a resonant clocking circuit including a clock grid. The method further includes providing resonant structures distributed in the clock grid. The method further includes providing switches that control the resonant structures to switch between a non-resonant mode and a resonant mode. The method further includes determining a switch size that minimizes power consumption of the resonant clocking circuit by iteratively increasing sizes of the switches and, for each iterative increase in size, determining power consumed by the resonant clocking circuit.Type: GrantFiled: February 22, 2013Date of Patent: November 11, 2014Assignee: International Business Machines CorporationInventors: Jason D. Hibbeler, William R. Reohr, Phillip J. Restle
-
Patent number: 8881090Abstract: The technological fabrication of the integrated circuit includes a fabrication of the integrated circuit in a reduced technological version of a native technology including at least a first dimensional compensation applied to the reduced channel length and to the reduced channel width of each transistor originating from a transistor, referred to as a “minimum transistor”, designed in the native technology and having in this native technology an initial channel length equal to a minimum length for the native technology and an initial channel width equal to a minimum width for the native technology. The fabrication obtains a transistor having a channel length equal, to a given precision, to the initial channel length and a channel width equal, to a given precision, to the initial channel width.Type: GrantFiled: September 14, 2012Date of Patent: November 4, 2014Assignee: STMicroelectronics (Rousset) SASInventors: Guilhem Bouton, Virginie Bidal
-
Patent number: 8881089Abstract: A system, process, etc. according to some embodiments, which includes operations that include selecting one of a plurality of solutions (“selected solution”) for optimization of an integrated circuit design during physical synthesis. The operations can further include performing on the selected solution a fast evaluation of a specific metric without updating design documents (e.g., without updating a netlist or metric map). If the evaluation of the specific metric is non-satisfactory, then the candidate solution is rejected. If the evaluation of the specific metric is satisfactory, then a design document is updated and a full evaluation of the specific metric (and other metrics) can be performed.Type: GrantFiled: December 17, 2013Date of Patent: November 4, 2014Assignee: International Business Machines CorporationInventors: Charles Jay Alpert, Glenn R. Bee, Zhuo Li, Tuhin Mahmud, Stephen T. Quay, Lakshmi N. Reddy, Chin Ngai Sze, Yaoguang Wei
-
Patent number: 8875068Abstract: An automated processor design tool uses a description of customized processor instruction set extensions in a standardized language to develop a configurable definition of a target instruction set, a Hardware Description Language description of circuitry necessary to implement the instruction set, and development tools such as a compiler, assembler, debugger and simulator which can be used to develop applications for the processor and to verify it. Implementation of the processor circuitry can be optimized for various criteria such as area, power consumption, speed and the like. Once a processor configuration is developed, it can be tested and inputs to the system modified to iteratively optimize the processor implementation. By providing a constrained domain of extensions and optimizations, the process can be automated to a high degree, thereby facilitating fast and reliable development.Type: GrantFiled: June 9, 2008Date of Patent: October 28, 2014Assignee: Cadence Design Systems, Inc.Inventors: Earl A. Killian, Ricardo E. Gonzalez, Ashish B. Dixit, Monica Lam, Walter D. Lichtenstein, Christopher Rowen, John C. Ruttenberg, Robert P. Wilson, Albert Ren-Rui Wang, Dror Eliezer Maydan
-
Patent number: 8869094Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.Type: GrantFiled: September 14, 2012Date of Patent: October 21, 2014Assignee: Tela Innovations, Inc.Inventors: Puneet Gupta, Andrew B. Kahng
-
Patent number: 8863068Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.Type: GrantFiled: June 18, 2012Date of Patent: October 14, 2014Assignee: International Business Machines CorporationInventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
-
Patent number: 8856719Abstract: A circuit simulation method for checking a circuit error is disclosed. The method may include generating a netlist with respect to a designed circuit, simulating an operation of the designed circuit using the generated netlist, and checking an error of the designed circuit using the generated netlist and using a waveform generated when performing the simulation.Type: GrantFiled: September 14, 2012Date of Patent: October 7, 2014Assignee: Samsung Electronics Co., Ltd.Inventors: Seonguk Min, Sangho Park, Yeoil Yun
-
Patent number: 8856712Abstract: A flip-flop operating with standard threshold voltage MOS devices as compared with high threshold voltage MOS devices may have improved speed performance, but greater leakage current. Likewise, a flip-flop operating with high threshold voltage MOS devices may reduce the leakage current and have better power efficiency, but decreased speed and performance. An optimized flip-flop may include a combination of standard threshold voltage MOS devices and high threshold voltage MOS devices. The optimized flip-flop may have less leakage during stand-by mode as compared to a flip-flop with standard threshold voltage MOS devices. In addition, the optimized flip-flop may have better performance and speed as compared to a flip-flop with high threshold voltage MOS devices.Type: GrantFiled: October 24, 2012Date of Patent: October 7, 2014Assignee: SanDisk Technologies Inc.Inventors: Deepak Pancholi, Srikanth Bojja, Bhavin Odedara
-
Patent number: 8843870Abstract: A method of reducing current leakage in unused circuits performed during semiconductor fabrication and a semiconductor device or integrated circuit thereby formed. The method involves modifying a characteristic of at least one idle circuit that is unused in a product variant, to inhibit the circuit and reduce current leakage therefrom upon powering as well as during operation. The method can substantially increase the Vt (threshold voltage) of all transistors of a given type, such as all N-type transistors or all P-type transistors. The method is also suitable for controlling other transistor parameters, such as transistor channel length, as well as other active elements, such as N-type resistors or P-type resistors, in unused circuits which affect leakage current as well as for other unused circuits, such as a high Vt circuit, a standard Vt circuit, a low Vt circuit, and an SRAM cell Vt circuit.Type: GrantFiled: June 28, 2012Date of Patent: September 23, 2014Assignee: PMC-Sierra US, Inc.Inventors: Bruce Scatchard, Chunfang Xie, Scott Barrick, Kenneth D. Wagner
-
Patent number: 8843873Abstract: A method of estimating capacitive cell load of cells in an integrated circuit (IC) design uses first maximum capacitive load values CMAX—LIB in calculating risk of electromigration failure in cells of the IC design. CMAX—LIB is saved for a cell whose risk of electromigration failure is acceptable. For a failed cell, a revised maximum capacitive load value CMAX—2 is reduced as the ratio of an actual current IACTUAL—1 relative to the electromigration current limit ILIMIT in the weakest element of the cell. A revised actual current IACTUAL—2 is obtained as a function of transition times with CMAX—2. CMAX—2 is saved for the cell if IACTUAL—2 is less than ILIMIT. Otherwise the steps of calculating CMAX—2 and IACTUAL—2 are re-iterated. CMAX—2 is reduced relative to CMAX—LIB for the first iteration and is further reduced relative to its previous value CMAX—2 for subsequent iterations.Type: GrantFiled: December 8, 2013Date of Patent: September 23, 2014Inventors: Pramod Sharma, Madhur Kashyap, Narayanan Kannan
-
Patent number: 8843872Abstract: Systems and techniques are described for automatically generating clock tree synthesis (CTS) exceptions. The process can use on one or more criteria to identify sequential circuit elements that can be ignored during clock skew minimization. For example, the process can identify sequential circuit elements whose clock skew cannot be balanced with other sequential circuit elements due to structural reasons, identify sequential timing elements that do not have a timing relationship with other sequential timing elements in the clock tree, and/or identify sequential circuit elements whose data pins have a sufficiently large slack so that clock skew is not expected to cause timing violations at any of the data pins. Next, the process can generate clock tree exceptions based on the identified sequential circuit elements.Type: GrantFiled: October 29, 2013Date of Patent: September 23, 2014Assignee: Synopsys, Inc.Inventors: Ssu-Min Chang, Aiqun Cao, Cheng-Liang Ding
-
Publication number: 20140282347Abstract: A system and method enable strengthening of flip-Flops (FFs) in an integrated circuit (IC) for the purpose of reducing power consumption. This is achieved by using stability condition (STC) and observability don't-care (ODC) techniques. Strengthening enable is defined as ensuring that a FF later in the fan-out is enabled only when a FF earlier in the fan-out is driving a signal to that later FF. In an embodiment the fan-in of a FF is traversed and the STC or ODC is determined for the FF. Dependent on the determination a STC controller or an ODC controller is added to control the FF's enable signal. In an embodiment the power savings is checked and a controller is added only if there is a reduction in overall power consumption resulting from the addition of the controller.Type: ApplicationFiled: December 13, 2013Publication date: September 18, 2014Applicant: Atrenta, Inc.Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi, Siddharth Guha, Vaibhav Jain
-
Patent number: 8832615Abstract: A method for detecting anomalies in signal behaviors in a simulation of a low power IC includes receiving a circuit design and a power specification of the IC, determining at least one power sequence checking rule from the power specification, simulating the circuit design and the power specification to obtain a dump file, identifying at least one anomaly of the at least one power sequence checking rule based on the dump file, and generating information relevant to the identified anomaly of the at least one power sequence checking rule. The method further includes setting up a context in a debugger for debugging the anomaly by displaying a waveform of misbehaved signals associated with the anomaly in a waveform window, and a portion of the circuit design and/or a portion of the power specification associated with the anomaly in a text window.Type: GrantFiled: May 9, 2013Date of Patent: September 9, 2014Assignees: Synopsys, Inc., Synopsys Taiwan Co., Ltd.Inventors: Ming Han Hsieh, Chih-Neng Hsu, Ming-Hui Hsieh
-
Patent number: 8826203Abstract: A system and method for improving and optimizing current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The system and method enables rapid C4 bump current estimation and placement including generating a one-time computed sensitivity matrix that includes all of the contributions of macros (or groups of components) to C4 current. The system and method further enables the calculation of a C4 current changes using the one-time computed sensitivity matrix and redistributed currents due to deletion of one or more C4 connectors. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.Type: GrantFiled: May 13, 2013Date of Patent: September 2, 2014Assignee: International Business Machines CorporationInventors: John Darringer, Jeonghee Shin
-
Patent number: 8826206Abstract: An aspect includes a computer program product for implementing a model of an electrical circuit including a first region and a second region, the first region including simulated logic and a simulated latch circuit. The computer program product includes a tangible storage medium readable by a processing circuit for performing a method. The method includes receiving, as simulated logical inputs to the simulated logic a simulated power supply voltage state of the first region, a simulated data input signal and a simulated clock signal. The method also includes generating, based on determining that the simulated power supply voltage state of the first region corresponds to an inactive state of the first region, a pseudo-random number as an output of the simulated latch circuit, the pseudo-random number generated based on the simulated data input signal and the simulated data output signal from the simulated latch circuit.Type: GrantFiled: May 7, 2013Date of Patent: September 2, 2014Assignee: International Business Machines CorporationInventors: Elspeth Anne Huston, Johannes Koesters, Klaus-Dieter Schubert, Marshall D. Tiner
-
Patent number: 8826216Abstract: A system and method of operating an integrated circuit (IC) having a fixed layout of one or more blocks having one or more current sources therein that draw electrical current from a power source. The method includes dynamically issuing to a block configured to perform operations responsive to an instruction received at the block, a reserve amount of tokens; determining for each issuance of instruction to the block whether that block's reserve token amount exceeds zero; and one of: issuing the instruction to the block if the token reserve for that block is greater than one, and decrementing, after issuance of the instruction, by one token the block's reserve token amount, or, preventing issuance of an instruction to the block. In the method, each block may be initialized to have: a reserve token amount of zero, a token expiration period; a token generation cycle and a token generation amount.Type: GrantFiled: June 18, 2012Date of Patent: September 2, 2014Assignee: International Business Machines CorporationInventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
-
Publication number: 20140245250Abstract: Recycling energy in a clock distribution network is provided. A method includes creating a resonant clocking circuit including a clock grid. The method further includes providing resonant structures distributed in the clock grid. The method further includes providing switches that control the resonant structures to switch between a non-resonant mode and a resonant mode. The method further includes determining a switch size that minimizes power consumption of the resonant clocking circuit by iteratively increasing sizes of the switches and, for each iterative increase in size, determining power consumed by the resonant clocking circuit.Type: ApplicationFiled: February 22, 2013Publication date: August 28, 2014Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Jason D. HIBBELER, William R. REOHR, Phillip J. RESTLE
-
Publication number: 20140239305Abstract: A preferred method of optimizing a Ga-nitride device material structure for a frequency multiplication device comprises: determining the amplitude and frequency of the input signal being multiplied in frequency; providing a Ga-nitride region on a substrate; determining the Al percentage composition and impurity doping in an AlGaN region positioned on the Ga-nitride region based upon the power level and waveform of the input signal and the desired frequency range in order to optimize power input/output efficiency; and selecting an orientation of N-face polar GaN or Ga-face polar GaN material relative to the AlGaN/GaN interface so as to orient the face of the GaN so as to optimize charge at the AlGaN/GaN interface. A preferred embodiment comprises an anti-serial Schottky varactor comprising: two Schottky diodes in anti-serial connection; each comprising at least one GaN layer designed based upon doping and thickness to improve the conversion efficiency.Type: ApplicationFiled: February 22, 2013Publication date: August 28, 2014Applicant: U.S. ARMY RESEARCH LABORATORY ATTN: RDRL-LOC-IInventor: U.S. ARMY RESEARCH LABORATORY ATTN: RDRL-LOC-I
-
Patent number: 8819606Abstract: Devices, systems and methods of this disclosure can provide integrated circuit devices operating above their specified operating temperate. The integrated circuit device can include functional blocks with power down circuitry and functional test blocks with built in self-test capabilities (BIST). The functional blocks can be implemented with timing constraint values to provide a timing margin for the device above a specified operation temperature. The functional test blocks can be implemented with timing constraint values that result in BIST failure when the device is operated above the specified operation temperature. As the temperature of the device rises above the operating temperature the functional test blocks can fail BIST prior to loss of functionality of the functional blocks. Upon BIST failure of the functional test blocks, circuitry in the functional blocks can be powered down to facilitate continued operation of the device with reduced functionality.Type: GrantFiled: February 26, 2013Date of Patent: August 26, 2014Assignee: ARRIS Enterprises, Inc.Inventor: Tauheed Ashraf
-
Patent number: 8819613Abstract: A power supply circuit design system according to an exemplary aspect of the invention includes: a power supply voltage fluctuation deriving means for deriving a power supply voltage fluctuation characteristic as a voltage fluctuation characteristic in a semiconductor integrated circuit on the basis of design information about a power supply circuit for connecting the semiconductor integrated circuit and other components mounted on a substrate; a determination reference database including a power supply voltage fluctuation condition as a condition for which the power supply voltage fluctuation characteristic is allowed in the power supply circuit, and a change indicator for at least one of a circuit structure and operation of the semiconductor integrated circuit; a power supply voltage fluctuation determination means for comparing the power supply voltage fluctuation characteristic and the power supply voltage fluctuation condition, and determining whether the power supply voltage fluctuation characteristic sType: GrantFiled: September 26, 2011Date of Patent: August 26, 2014Assignee: NEC CorporationInventors: Masashi Ogawa, Hisashi Ishida
-
Patent number: 8819602Abstract: Structures of a circuit are identified. Voltages are propagated to the identified structures. Additionally, internal node voltages for the identified structures are obtained. Asymmetrical operating conditions are identified.Type: GrantFiled: July 13, 2012Date of Patent: August 26, 2014Assignee: Infineon Technologies AGInventor: Georg Georgakos
-
Patent number: 8819603Abstract: A circuit can include a plurality of storage circuits, each having a pair of first conductivity type transistor having sources commonly connected to a first node, and gates and drains cross-coupled between first and second storage node; and a pair of second conductivity type transistor having sources commonly connected to a second node, and gates and drains cross-coupled between the first and second storage node; wherein each of the second conductivity type transistors comprises a screening region of the first conductivity type formed below the channel region and has a predetermined minimum dopant concentration.Type: GrantFiled: December 14, 2012Date of Patent: August 26, 2014Assignee: Suvolta, Inc.Inventors: Lawrence T. Clark, Samuel Leshner
-
Patent number: 8813016Abstract: Among other things, one or more techniques and/or systems for performing design layout are provided. In an example, a design layout corresponds to a layout of a standard cell whose connectivity is described by a netlist. For example, the netlist specifies net types for respective vias of the standard cell. One or more connectivity rings are formed within the design layout to provide connectivity for one or more vias of the design layout. For example, a first connectivity ring is generated, such as from mandrel, to connect one or more ring one vias. A second connectivity ring is generated, such as from passive pattern, to connect one or more ring two vias. One or more cuts are generated within the design layout to isolate vias having different net types. In this way, the design layout is self-aligned double patterning (SADP) compliant.Type: GrantFiled: January 28, 2013Date of Patent: August 19, 2014Assignee: Taiwan Semiconductor Manufacturing Company LimitedInventors: Chin-Hsiung Hsu, Huang-Yu Chen, Li-Chun Tien, Lee-Chung Lu, Hui-Zhong Zhuang, Cheng-I Huang, Chung-Hsing Wang, Yi-Kan Cheng
-
Patent number: 8813020Abstract: A system and method for automatically modifying a first layout of a circuit. The first layout may describe a plurality of layers used in a fabrication process to manufacture the circuit. When performed, the fabrication process may result in a vertical electrical connection between two of the layers. However, the vertical electrical connection may not be directly specified by the first layout. The system and method may operate to apply a set of rules to the first layout to automatically generate a modified layout directly specifying a vertical electrical connection between the two layers. The set of rules may be based on knowledge of the fabrication process, and may be designed to modify the geometry of the first layout to more closely model the real geometry of the circuit that will result from the fabrication process. The modified layout may enable an electromagnetic (EM) simulation of the circuit to be accurately performed.Type: GrantFiled: January 12, 2013Date of Patent: August 19, 2014Assignee: AWR CorporationInventors: Joseph Edward Pekarek, Niranjana Sharma Doddamani
-
Patent number: 8806410Abstract: Power balancing techniques are provided for improving power efficiency of pipelined processors. A design-level implementation can be incorporated during synthesis of pipeline clocks in which a register transfer level (RTL) code, operating frequency, and available voltage domains are used to perform cycle time stealing with, and optimize for, power efficiency. A test-level implementation can be incorporated during testing of a chip in which delay and power measurements are used to perform calculations based on cycle time stealing and optimization of power efficiency. The calculations are then used to perform voltage scaling and/or adjust tunable delay buffers. Process variations may also be corrected during test time. A run-time approach can be incorporated for dynamic power balancing in which the operating system keeps track of one or more performance indicators such as a count of floating point instructions and uses a look-up table to provide the appropriate delays.Type: GrantFiled: October 29, 2012Date of Patent: August 12, 2014Assignee: The Board of Trustees of the University of IllinoisInventors: Rakesh Kumar, Benjamin J. Ahrens, John M. Sartori
-
Patent number: 8806408Abstract: Various embodiments of methods of designing an integrated circuit (IC). One embodiment of one such method includes: (1) generating a functional design for the IC, (2) determining performance objectives for the IC, (3) determining an optimization target voltage for the IC, (4) determining whether the IC needs voltage scaling to achieve the performance objectives at the optimization target voltage and, if so, whether the IC is to employ static voltage scaling or adaptive voltage scaling, (5) using the optimization target voltage to implement a layout from the functional IC design that meets the performance objectives and (6) performing a timing signoff of the layout at the optimization target voltage.Type: GrantFiled: February 3, 2009Date of Patent: August 12, 2014Assignee: Agere Systems Inc.Inventors: James C. Parker, Vishwas M. Rao, Clayton E. Schneider, Jr., Gregory W. Sheets, Prasad Subbarao
-
Patent number: 8806412Abstract: Place-and-route (P&R) includes maintaining a set of local arrival time information and local required time information associated with a circuit layout; determining a candidate fix on a critical path in the circuit layout; statistically determining, using one or more computer processors, a set of one or more adjusted local slacks associated with a region affected by the candidate fix; and in the event that the set of one or more adjusted local slacks indicates that the candidate fix results in a timing improvement, accepting the candidate fix.Type: GrantFiled: September 16, 2013Date of Patent: August 12, 2014Assignee: Atoptech, Inc.Inventors: Yu-Cheng Wang, Wei-Shen Wang
-
Patent number: 8806411Abstract: A semiconductor device includes: first and second circuit cell arrays extending in first direction; first and second power supply lines each extending in first direction and arranged over first circuit cell array, first power supply line being supplied with first power source voltage; third power supply line extending in first direction separately from second power supply line, arranged over second circuit cell array, and supplied with second power source voltage; first transistor coupled between second and third power supply lines; and first circuit arranged on first circuit cell array and operating on first and second power source voltages supplied from first and second power supply lines, respectively.Type: GrantFiled: June 28, 2013Date of Patent: August 12, 2014Assignee: PS4 Luxco S.A.R.L.Inventor: Toshinao Ishii
-
Publication number: 20140223404Abstract: Methods and apparatus for a gate-length biasing methodology for optimizing integrated digital circuits are described. The gate-length biasing methodology that changes a nominal gate-length of a transistor to a biased gate-length, where the biased gate-length includes a bias length that is small compared to the nominal gate-length.Type: ApplicationFiled: April 4, 2014Publication date: August 7, 2014Applicant: Tela Innovations, Inc.Inventors: Puneet Gupta, Andrew B. Kahng
-
Patent number: 8789003Abstract: Methods for creating a tunable phase shifter include setting physical dimension limits for the tunable phase shifter; determining electrical parameters for the tunable phase shifter, including a characteristic impedance limit and a maximum inductance tuning range, based on the physical dimension limits using a processor; and determining physical dimensions for an inductance tuning transistor and a capacitor tuning transistor, such that a characteristic impedance range is minimized.Type: GrantFiled: April 22, 2013Date of Patent: July 22, 2014Assignee: International Business Machines CorporationInventors: Hanyi Ding, Alberto Valdes Garcia, Wayne H. Woods, Jr.
-
Patent number: 8782590Abstract: A system for an integrated circuit comprising a plurality of power islands includes a first power manager and a second power manager. The first power manager manages a first power consumption for the integrated circuit based on needs and operation of the integrated circuit. The second power manager communicates with the first power manager and manages a second power consumption for one of the power islands.Type: GrantFiled: June 20, 2011Date of Patent: July 15, 2014Assignee: Conversant Intellectual Property Management Inc.Inventors: Barry Alan Hoberman, Daniel L. Hillman, Jon Shiell
-
Patent number: 8782592Abstract: A system for designing digital circuitry comprising: a digital circuit simulator based on a file containing a functional description of this digital circuit; means for estimating an output variable from the digital circuit when executing a test bench supplied to the simulator; event counters, the events being detected using control signals provided by the simulator when executing the test bench. Said system further comprises means for selecting a portion of the event counters by iteratively optimizing a model for calculating the output variable of the digital circuit using output data from the event counters and means for registering the selected portion of event counters and the optimized calculation model.Type: GrantFiled: October 24, 2012Date of Patent: July 15, 2014Assignee: Commissariat a l'Energie Atomique et aux Energies AlternativesInventors: Imen Mansouri, Fabien Clermidy, Pascal Benoit
-
Publication number: 20140195999Abstract: A method of designing a semiconductor integrated circuit, includes inserting, between a power supply voltage and a ground voltage, at least two types of capacitor cells which have a different ratio, the ratio being between an inverse number of a capacitance value of a capacitative element and a resistance value of an equivalent series resistance, such that an impedance between the power supply voltage and the ground voltage in a resonance frequency according to capacitances of the at least two types of capacitor cells and an external inductance, and an impedance between the power supply voltage and the ground voltage in a target frequency, are near respective desired values or less than or equal to the respective desired values.Type: ApplicationFiled: March 12, 2014Publication date: July 10, 2014Applicant: FUJITSU LIMITEDInventor: Shinichi NAKAMOTO
-
Patent number: 8776003Abstract: The disclosure provides leakage power recovery that considers side transition times of multi-input cells. In one embodiment, a leakage power recovery system is disclosed that includes: (1) a power recovery module that considers side transitions when making a first conditional replacement of a cell in a path of a circuit design with a lower leakage cell and estimates delays and slack of the at least one path of the circuit design, and (2) a speed recovery module that makes a second conditional replacement of a slower lower leakage cell of the path with a higher leakage cell when there is a timing violation with respect to the path, determines if any other cells of the at least one path has a slower input transition and makes a third conditional replacement of a driver thereof to a higher leakage cell when the driver is one of the slower lower leakage cells.Type: GrantFiled: July 31, 2012Date of Patent: July 8, 2014Assignee: LSI CorporationInventors: Bruce E. Zahn, Donald J. Wingate
-
Patent number: 8776006Abstract: Aspects of the invention provide for a method of delay defect testing in integrated circuits. In one embodiment, the method includes: generating at least one test pattern based on a transition fault model type; evaluating a dynamic voltage drop for the at least one pattern during a capture cycle and generating a voltage drop value for the at least one test pattern; performing a static timing analysis, using the voltage drop value for the at least one test pattern; evaluating a plurality of paths in the at least one pattern; and masking each path that fails to meet a timing requirement.Type: GrantFiled: February 27, 2013Date of Patent: July 8, 2014Assignee: International Business Machines CorporationInventors: Raghu G. Gopalakrishnasetty, Thamaraiselvan Subramani, Balaji Upputuri
-
Patent number: 8762906Abstract: Disclosed are a method, system, and computer program product for implementing various embodiments of the methods for implementing multi-power domain digital or mixed-signal verification and low power simulation. The method or the system comprises automatically generating one or more net or terminal expression, set, or one or more overriding net or terminal expression by reading, importing, or interpreting the power data file for the electronic circuit design; identifying one or more schematics of the electronic circuit design; generating an annotated schematic of the electronic circuit design by automatically annotating at least one of the one or more schematics with some of the one or more net or terminal expression, set, or one or more overriding net or terminal expression; and performing verification of the electronic circuit design by using at least the annotated schematic.Type: GrantFiled: April 1, 2010Date of Patent: June 24, 2014Assignee: Cadence Design Systems, Inc.Inventors: Arnold Ginetti, Donald J. O'Riordan, Madhur Sharma
-
Patent number: 8762923Abstract: A system for an integrated circuit comprising a plurality of power islands includes a first power manager and a second power manager. The first power manager manages a first power consumption for the integrated circuit based on needs and operation of the integrated circuit. The second power manager communicates with the first power manager and manages a second power consumption for one of the power islands.Type: GrantFiled: May 16, 2012Date of Patent: June 24, 2014Assignee: Conversant Intellectual Property Management Inc.Inventors: Barry Alan Hoberman, Daniel L. Hillman, Jon Shiell