For Power Patents (Class 716/133)
  • Patent number: 8762923
    Abstract: A system for an integrated circuit comprising a plurality of power islands includes a first power manager and a second power manager. The first power manager manages a first power consumption for the integrated circuit based on needs and operation of the integrated circuit. The second power manager communicates with the first power manager and manages a second power consumption for one of the power islands.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: June 24, 2014
    Assignee: Conversant Intellectual Property Management Inc.
    Inventors: Barry Alan Hoberman, Daniel L. Hillman, Jon Shiell
  • Patent number: 8756552
    Abstract: Among other things, one or more techniques and/or systems for performing design layout are provided. In an example, a design layout corresponds to a layout of a standard cell whose connectivity is described by a netlist. For example, the netlist specifies net types for respective vias of the standard cell. One or more connectivity rings are formed within the design layout to provide connectivity for one or more vias of the design layout. For example, a first connectivity ring is generated, such as from mandrel, to connect one or more ring one vias. A second connectivity ring is generated, such as from passive pattern, to connect one or more ring two vias. One or more cuts are generated within the design layout to isolate vias having different net types. In this way, the design layout is self-aligned double patterning (SADP) compliant.
    Type: Grant
    Filed: January 28, 2013
    Date of Patent: June 17, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chin-Hsiung Hsu, Huang-Yu Chen, Li-Chun Tien, Lee-Chung Lu, Hui-Zhong Zhuang, Cheng-I Huang, Chung-Hsing Wang, Yi-Kan Cheng
  • Patent number: 8756556
    Abstract: Power flow in an electric power network is optimized by first decomposing an optimization problem into a set of disjoint parameterized optimization problems. The disjoint optimization problems are independent of each other, and the decomposition is based on dualized coupled constraints having corresponding multipliers. Each optimization problem is solved independently to obtain a corresponding solution, and a sensitivity of each solution to changes in the parameter. The parameters are updated using the corresponding solutions and the sensitivities, and iterated until reaching a convergence.
    Type: Grant
    Filed: February 25, 2013
    Date of Patent: June 17, 2014
    Assignee: Mitsubishi Electric Research Laboratories, Inc.
    Inventor: Arvind U Raghunathan
  • Patent number: 8756555
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 17, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8756549
    Abstract: Disclosed are embodiments of an integrated circuit chip designed for reliability at low ambient temperatures. The chip substrate can be divided into zones, including at least one temperature-sensitive zone (TSZ) that contains one or more temperature-sensitive circuits. Temperature sensor(s) can be positioned in the semiconductor substrate adjacent to the TSZ. Thermal radiator(s) can be embedded in a metal wiring layer and aligned above the TSZ. The temperature sensor(s) can be operatively connected to the thermal radiator(s) and can trigger operation of the thermal radiator(s) when the temperature in the TSZ is below a predetermined threshold temperature. Additionally, an on-chip power control system can be operatively connected to the thermal radiator(s) so that operation of the thermal radiator(s) is only triggered when a circuit within the TSZ is about to be powered up. Also disclosed are associated embodiments of a system and method for designing such an integrated circuit chip.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Richard S. Graf, Keishi Okamoto, Faraydon Pakbaz, Jack R. Smith, Sebastian T. Ventrone
  • Patent number: 8756554
    Abstract: A method comprises tracing a first and second terminal of a junction through a circuit layout to associated power supplies to determine their respective defined bias values. The method further comprises comparing the defined bias values of each terminal in order to determine, based on the comparison, whether the junction is forward biased.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Douglas W. Kemerer, Edward W. Seibert, Lijiang L. Wang
  • Publication number: 20140165021
    Abstract: A dynamically reconfigurable framework manages processing applications in order to meet time-varying constraints to select an optimal hardware architecture. The optimal architecture satisfies time-varying constraints including for example, supplied power, required performance, accuracy levels, available bandwidth, and quality of output such as image reconstruction. The process of determining an optimal solution is defined in terms of multi-objective optimization using Pareto-optimal realizations.
    Type: Application
    Filed: November 1, 2013
    Publication date: June 12, 2014
    Inventors: Marios Stephanou Pattichis, Yuebing Jiang, Daniel Rolando Llamocca Obregon
  • Patent number: 8751992
    Abstract: According to an embodiment, a semiconductor integrated circuit including first and second lower-layer power supply wires extending in a first direction and first and second upper-layer power supply wires extending in a second direction is provided. First and second connection wires between the upper-layer power supply wires and the lower-layer power supply wires are arranged in a same line along the second direction. First and second position converting wires extending from the connection wires are arranged between the first and second connection wires. First and second upper-side vias provided on the position converting wires are arranged in a same line along the first direction.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: June 10, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuaki Utsumi, Naoyuki Kawabe, Keiji Omotani
  • Publication number: 20140146630
    Abstract: The disclosed embodiments comprise a multi-stage circuit operating across different power domains. The multi-stage circuit may be implemented as a master-slave flip-flop circuit integrated with a level shifter that transfers data across different power domains. The master and slave stages of the flip-flop may be split across two tiers of a 3D IC and may include (i) a level shifter across different power domain integrated within the flip-flop circuit, (ii) reduced one-state writing delays by a self-induced power collapsing technique, (iii) splitting flip-flop power supplies in different tiers using monolithic 3D IC technology, and (iv) cross power domain data transfer between 3D IC tiers.
    Type: Application
    Filed: March 11, 2013
    Publication date: May 29, 2014
    Applicant: QUALCOMM Incorporated
    Inventors: Jing Xie, Yang Du
  • Patent number: 8739107
    Abstract: Disclosed is a system in which in order to obtain the operation parameter of a circuit based on an implementable area indicating a circuit scale that can be implemented on a circuit implementation device, circuit area information, and operation parameter measuring circuit area information, an observation signal number determining means determines observation signal information on a circuit that obtains the operation parameter of the circuit. The number of the extracted signals is determined in view of the area that can be implemented on a digital LSI or an emulator and the area of the circuit to be implemented (refer to FIG. 1).
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: May 27, 2014
    Assignee: NEC Corporation
    Inventor: Kohei Hosokawa
  • Patent number: 8732636
    Abstract: Disclosed are a method, system, and computer program product for implementing various embodiments of the methods for implementing multi-power domain digital or mixed-signal verification and low power simulation. The method or the system comprises automatically generating one or more net or terminal expression, set, or one or more overriding net or terminal expression by reading, importing, or interpreting the power data file for the electronic circuit design; identifying one or more schematics of the electronic circuit design; generating an annotated schematic of the electronic circuit design by automatically annotating at least one of the one or more schematics with some of the one or more net or terminal expression, set, or one or more overriding net or terminal expression; and performing verification of the electronic circuit design by using at least the annotated schematic.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: May 20, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnold Ginetti, Donald J. O'Riordan, Madhur Sharma
  • Patent number: 8732635
    Abstract: A programmable logic device (PLD) includes a non-volatile memory, a configuration memory, and a control circuitry. The control circuitry couples to the non-volatile memory and to the configuration memory. A set of voltages are derived from the outputs of the control circuitry, and are applied to circuitry within the PLD.
    Type: Grant
    Filed: July 1, 2008
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: David Lewis, Christopher F. Lane, Sarathy Sribhashyam, Srinivas Perisetty, Tim Vanderhoek, Vaughn Betz, Thomas Yau-Tsun Wong, Andy L. Lee
  • Patent number: 8726224
    Abstract: The present disclosure relates to a computer-implemented method for electronic design visualization. The method may include providing, using at least one computing device, an electronic design and identifying a plurality of power domains associated with the electronic design. The method may further include associating, using the at least one computing device, at least two of the plurality of power domains with a particular group and displaying one or more of the plurality of power domains in a hierarchical manner.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: May 13, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Philip Benedict Giangarra, Debra Jean Wimpey, Michael James Floyd, Abu Nasser Mohammed Abdullah
  • Patent number: 8719741
    Abstract: A semiconductor integrated circuit device is disclosed. The semiconductor integrated circuit device includes a first circuit whose output never or seldom changes when the output from an Enable generator is off, a second circuit whose output frequently changes, an input controller which receives the respective outputs from the second circuit and the Enable generator and passes through the input from the second circuit only when the output from the Enable generator is on, a combination circuit which receives the respective outputs from the first circuit and the input controller, and a memory which receives the output from the combination circuit and is driven by the output from the clock controller.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: May 6, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hirotsugu Kajihara
  • Patent number: 8713509
    Abstract: A circuit design, responsive the input signals, may be obtained and processed. The circuit design may define connections between combinational elements, memory elements, and input signals. Identification of cut-off points may be performed with respect to predetermined combinational logic input signals. The cut-off points may be connections whose values are not dependant on the value of the predetermined combinational logic input signals. An approximated circuit design may be synthesized by relaxing the logic associated with the cut-off points. Based on the approximated circuit design, processing may be performed. In some exemplary embodiments, a clock gating function of a memory element may be determined by approximating the circuit design with respect to the output signal of the memory element. The clock gating function may be determined based on the approximated circuit design and introduced to the circuit design, with or without additional refinement.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: April 29, 2014
    Assignee: International Business Machines Corporation
    Inventors: Eli Arbel, Oleg Rokhlenko
  • Patent number: 8707244
    Abstract: In one aspect, a technique for performing signal activity extraction in an integrated circuit an integrated circuit is described. The integrated circuit includes multiple nodes. The technique includes compiling a design of the integrated circuit, estimating signal activities at the nodes, determining a node of interest from the nodes, and connecting a signal activity circuit to the node of interest. The determination of the node of interest and the connection of the signal activity circuit to the node of interest first compared to the remaining nodes of the integrated circuit improves efficiency in determining nodes of the integrated circuit at which signals can be analyzed first. Such signal activity extraction may involve power analysis and power optimization.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: April 22, 2014
    Assignee: Altera Corporation
    Inventors: David Ian M. Milton, Alexander Grbic
  • Patent number: 8701073
    Abstract: A computer implemented method comprises accessing a 3D-IC model stored in a tangible, non-transitory machine readable medium, inputting a power profile in a computer processor, generating a transient temperature profile based on the 3D-IC model, identifying a potential thermal violation at a corresponding operating time interval and a corresponding location of a plurality of points of the 3D-IC design, and outputting data representing the potential thermal violation. The 3D-IC model represents a 3D-IC design comprising a plurality of elements in a stack configuration. The power profile is applied to the plurality of elements of the 3D-IC design as a function of an operating time. The transient temperature profile includes temperatures at a plurality of points of the 3D-IC design as a function of an operating time.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: April 15, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-min Fu, William Wu Shen, Po-Hsiang Huang, Meng-Fu You, Chi-Yeh Yu
  • Patent number: 8701065
    Abstract: A method of designing an acoustic microwave filter comprises selecting a filter section based on frequency response requirements. The filter section includes an input, an output, and a plurality of circuit elements. The circuit elements have at least in-line acoustic resonators or in-shunt acoustic resonators. The method further comprises selecting a value for each circuit element, selecting a number of filter sections, and cascading the selected number of filter sections to create a cascaded filter circuit design, such that at least one pair of immediately adjacent filter sections are connected to each other via their inputs or their outputs. The method further comprises adding parasitic effects to the cascaded filter circuit design to create a pre-optimized filter circuit design, optimizing the pre-optimized filter circuit design to create a final filter circuit design, and constructing the acoustic microwave filter based on the final filter circuit design.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: April 15, 2014
    Assignee: Resonant LLC
    Inventors: Richard N. Silver, Kurt F. Raihn, Neal O. Fenzi, Robert B. Hammond
  • Patent number: 8694939
    Abstract: A method for determining a critical junction temperature for a user-design implemented in a field programmable gate array (programmable device), includes: obtaining a static power vs. temperature curve for the user-design implemented in the programmable device; obtaining a system thermal curve for the user-design implemented in the programmable device; and using the static power vs. temperature curve for the user-design implemented in the programmable device and the system thermal curve for the user-design implemented in the programmable device to determine the critical junction temperature.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: April 8, 2014
    Assignee: Xilinx, Inc.
    Inventors: Alan M. Frost, Matthew H. Klein, Ronald L. Cline
  • Patent number: 8694945
    Abstract: The present disclosure relates to an electromigration tolerant power distribution network generated by an automatic place and route (APR) methodology. In some embodiments, an automatic place and route tool constructs a local power network having multi-level power rails. The multi-level power rails have interleaved segments of vertically adjacent metal layers, wherein each interleaved segment is shorter than a predetermined characteristic length corresponding to a Blech length. By limiting the length of the interleaved metallization segments, electromigration within the multi-level power rails is alleviated, allowing for the maximum current density requirement (Jmax) for mean time to failures (MTTF) to be increased.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: April 8, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Hsing Wang, King-Ho Tam, Huang-Yu Chen
  • Publication number: 20140096102
    Abstract: A computer implemented method comprises accessing a 3D-IC model stored in a tangible, non-transitory machine readable medium, inputting a power profile in a computer processor, generating a transient temperature profile based on the 3D-IC model, identifying a potential thermal violation at a corresponding operating time interval and a corresponding location of a plurality of points of the 3D-IC design, and outputting data representing the potential thermal violation. The 3D-IC model represents a 3D-IC design comprising a plurality of elements in a stack configuration. The power profile is applied to the plurality of elements of the 3D-IC design as a function of an operating time. The transient temperature profile includes temperatures at a plurality of points of the 3D-IC design as a function of an operating time.
    Type: Application
    Filed: November 21, 2012
    Publication date: April 3, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chung-min FU, William Wu SHEN, Po-Hsiang HUANG, Meng-Fu YOU, Chi-Yeh YU
  • Patent number: 8683403
    Abstract: A power circuit analysis apparatus includes a segmentation unit that segments an analysis target region in a power circuit included in an analysis target circuit into a plurality of segmented regions, and an analysis unit that outputs an analysis result of the power circuit with respect to each of the plurality of segmented regions on a basis of a consumption current value in the segmented region and a number of via holes formed in each interlayer connecting power line wirings in upper and lower layers to each other in the segmented region.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: March 25, 2014
    Assignee: Fujitsu Limited
    Inventors: Miki Terabe, Yasuo Amano
  • Patent number: 8683413
    Abstract: A multi-layered ceramic package comprises: a signal layer with identified chip/device area(s) that require a supply of power; and a voltage power (Vdd) layer and a ground (Gnd) layer disposed on opposite sides directly above or below and adjacent to the signal layer and providing a first reference mesh plane and a second reference mesh plane configured utilizing a hybrid mesh scheme. The hybrid mesh scheme comprises: a full dense mesh in a first area directly above or below the identified chip/device area(s); a half dense mesh in a second area that is above or below the edge(s) of the chip/device area; and a wider mesh pitch in all other areas The Vdd traces are aligned to run parallel and adjacent to signal lines in those other areas. Wider traces are provided within the mesh areas that run parallel and adjacent to signal lines.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: March 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Wiren Dale Becker, Jinwoo Choi, Tingdong Zhou
  • Patent number: 8683414
    Abstract: A semiconductor integrated circuit device having a control signal system for avoiding failure to check an indefinite signal propagation prevention circuit, for facilitating a check included in an automated tool, and for facilitating a power shutdown control inside a chip. In the semiconductor integrated circuit device, power shutdown priorities are provided by independent power domains (Area A to Area I). A method for preventing a power domain having a lower priority from being turned OFF when a circuit having a high priority is turned ON is also provided.
    Type: Grant
    Filed: April 18, 2013
    Date of Patent: March 25, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Yusuke Kanno, Hiroyuki Mizuno, Yoshihiko Yasu, Kenji Hirose, Takahiro Irita
  • Patent number: 8683408
    Abstract: Techniques and systems for optimizing a circuit design are described. In some embodiments, a sequential cell is selected for optimization. Next, the system iterates through a set of candidate sequential cells that are functionally equivalent to the sequential cell that is being optimized. The system evaluates the global timing impact of each candidate sequential cell in a highly efficient manner. For each candidate sequential cell that is evaluated, a non-timing metric and a timing metric for a candidate sequential cell are compared with the corresponding non-timing metric and timing metric for the current best sequential cell. If a candidate sequential cell improves the timing metric, or maintains the timing metric and has better non-timing metric(s), then the candidate sequential cell is stored as the current best sequential cell. Once the process completes, the current best sequential cell is the optimized cell size for the sequential cell.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: March 25, 2014
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez
  • Patent number: 8683418
    Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Grant
    Filed: June 18, 2012
    Date of Patent: March 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Patent number: 8683419
    Abstract: A method is provided to test an integrated circuit design for power management circuit design errors comprising: configuring a computer to identify multiple power domain crossing paths between pairs of power domains; identify one or more power related constraints associated with such power domain crossing paths; and group power domain crossing paths between matching power domain pairs that are associated with matching power related constraints.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: March 25, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mitchell W Hines, Chung-Fu Chang, Reuber Duarte
  • Publication number: 20140082580
    Abstract: A dynamic system coupled with “pre-Silicon” design methodologies and “post-Silicon” current optimizing programming methodologies to improve and optimize current delivery into a chip, which is limited by the physical properties of the connections (e.g., Controlled Collapse Chip Connection or C4s). The mechanism consists of measuring or estimating power consumption at a certain granularity within a chip, converting the power information into C4 current information using a method, and triggering throttling mechanisms (including token based throttling) where applicable to limit the current delivery per C4 beyond pre-established limits or periods. Design aids are used to allocate C4s throughout the chip based on the current delivery requirements. The system coupled with design and programming methodologies improve and optimize current delivery is extendable to connections across layers in a multilayer 3D chip stack.
    Type: Application
    Filed: June 18, 2012
    Publication date: March 20, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Pradip Bose, Alper Buyuktosunoglu, John A. Darringer, Moinuddin K. Qureshi, Jeonghee Shin
  • Patent number: 8677303
    Abstract: An integrated circuit is described. The integrated circuit, comprising: a central processor; a memory; and an electromigration compensation system associated with a plurality of leads within the integrated circuit, wherein the electromigration compensation system causes the plurality of leads to have interlocking, horizontally tapered ends that substantially reduces electromigration divergence and consequently lead resistance and circuit shorting.
    Type: Grant
    Filed: October 7, 2010
    Date of Patent: March 18, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Palkesh Jain, Young-Joon Park
  • Patent number: 8677295
    Abstract: The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: March 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Publication number: 20140074422
    Abstract: A plurality of digital circuits are manufactured from an identical circuit design. A power controller is operatively connected to the digital circuits, and a non-transitory storage medium is operatively connected to the power controller. The digital circuits are classified into different voltage bins, and each of the voltage bins has a current leakage limit. Each of the digital circuits has been previously tested to operate within a corresponding current leakage limit of a corresponding voltage bin into which each of the digital circuits has been classified. The non-transitory storage medium stores boundaries of the voltage bins as speed-binning test data. The power controller controls power-supply signals applied differently for each of the digital circuits based on which bin each of the digital circuit has been classified and the speed-binning test data.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 13, 2014
    Applicant: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Eric A. Foreman, Peter A. Habitz, Jeffrey G. Hemmett, Clarence R. Ogilvie, Tad J. Wilder, Vladimir Zolotov
  • Patent number: 8671380
    Abstract: A method and apparatus for controlling the frequency of a clock signal using a clock-gating circuit is disclosed. In one embodiment, a root clock signal and an enable signal are provided to a clock-gating circuit. The clock-gating circuit is configured to provide an operational clock signal (based on the root clock signal) when the enable signal is asserted. The operational clock signal is inhibited when the enable signal is de-asserted. The frequency of the operational clock signal can be output at a reduced frequency (relative to the root clock signal) by asserting the enable signal for one of every N clock cycles. Furthermore, the frequency of the operational clock signal can be dynamically changed by changing the rate of asserting the enable signal relative to the root clock signal, without suspending operation of a functional unit receiving the operational clock signal.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: March 11, 2014
    Assignee: Apple Inc.
    Inventors: James Wang, Patrick Y. Law
  • Patent number: 8667448
    Abstract: Embodiments include a method for providing a local maximum operating voltage on an integrated circuit. The method includes determining a gate-to-contact reliability for each of the plurality of regions and calculating a local maximum voltage for each of the plurality of regions based on the gate-to-contact reliability. Based on a determination that the local maximum voltage in one of the plurality of regions is greater than a maximum voltage, the method includes setting the local maximum operating voltage to the maximum voltage. Based on a determination that the local maximum voltage in one of the plurality of regions is less than the maximum voltage, the method includes setting the local maximum operating voltage to the local maximum voltage.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: March 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Anthony I. Chou, Arvind Kumar, Renee T. Mo
  • Patent number: 8667434
    Abstract: A system, method and computer program product are provided for altering a hardware description based on an instruction file. In use, a hardware description is identified. Additionally, the hardware description is analyzed. Further, an instruction file is created based on the analysis. Moreover, the hardware is altered based on the instruction file.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: March 4, 2014
    Assignee: Calypto Design Systems, Inc.
    Inventors: Victor Kim, Niloy Das, Raghvendra K. Singh
  • Publication number: 20140053124
    Abstract: Methods and apparatuses for circuit design to reduce power usage, such as reducing temperature dependent power usage, and/or to improve timing, such as reducing temperature dependent delay or transition time. At least one embodiment of the method implemented on a data processing system for circuit design, the method comprises determining for a first design of a circuit a first temperature solution and a first power dissipation solution, the first power dissipation solution and the first temperature solution being interdependent, and transforming the first design of the circuit into a second design of the circuit using the first temperature solution to reduce leakage power of the circuit under one or more design constraints.
    Type: Application
    Filed: October 21, 2013
    Publication date: February 20, 2014
    Applicant: Synopsys, Inc.
    Inventors: Khalid Rahmat, Kenneth S. McElvain
  • Patent number: 8656325
    Abstract: Disclosed is an integrated circuit design method that determines maximum direct currents for metal components and uses them as design constraints in the design flow in order to avoid/minimize electromigration failures. Short and long metal components are treated differently for purposes of establishing the design constraints. For a short metal component, the maximum direct current as a function of a given temperature for a given expected lifetime of the integrated circuit is determined, another maximum direct current is determined based on the Blech length, and the higher of these two is selected and used as the design constraint for that short metal component. For a long metal component, only the maximum direct current as a function of the given temperature for the given expected lifetime is determined and used as the design constraint. Also disclosed herein are associated system and program storage device embodiments for designing an integrated circuit.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: John E. Barwin, Amol A. Joshi, Baozhen Li, Michael R. Ouellette
  • Patent number: 8656338
    Abstract: Technologies are generally described for hardware synthesis using thermally aware scheduling and binding. Multiple versions of a hardware design may be generated, each having variations of schedule and binding results. The scheduling and binding may be performed such that thermal profiles of the multiple versions have thermal peaks that are distant between the versions. The increased physical distance between the thermal peaks of the versions can give the versions unique thermal characteristics. A schedule of rotation between the multiple versions of the design may be constructed such that the thermal profile of the integrated circuit balances out during operation. A linear programming framework may be used to analyze the multiple designs and construct a thermally aware rotation scheduling and binding. For example, the K most efficient versions may be selected and then durations for operating each version within a rotation may be determined.
    Type: Grant
    Filed: January 28, 2013
    Date of Patent: February 18, 2014
    Assignee: Empire Technology Development LLC
    Inventors: Farinaz Koushanfar, Miodrag Potkonjak
  • Patent number: 8656326
    Abstract: The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Patent number: 8656334
    Abstract: A method, system, and computer usable program product for multiple threshold voltage cell families (mVt families) based integrated circuit design are provided in the illustrative embodiments. The integrated circuit includes cells, and a cell includes an electronic component. A design process is initialized by using cells from the mVt families in the design. The cells from the mVt families are included in iterative manipulation of the design. The cells from the mVt families are further included in violation cleanup and subsequent steps of the design process. A version of the design is produced that is usable to implement the circuit with the cells from the mVt families.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Zhuo Li, Arjen Alexander Mets, Ying Zhou
  • Publication number: 20140043078
    Abstract: A flip-flop operating with standard threshold voltage MOS devices as compared with high threshold voltage MOS devices may have improved speed performance, but greater leakage current. Likewise, a flip-flop operating with high threshold voltage MOS devices may reduce the leakage current and have better power efficiency, but decreased speed and performance. An optimized flip-flop may include a combination of standard threshold voltage MOS devices and high threshold voltage MOS devices. The optimized flip-flop may have less leakage during stand-by mode as compared to a flip-flop with standard threshold voltage MOS devices. In addition, the optimized flip-flop may have better performance and speed as compared to a flip-flop with high threshold voltage MOS devices.
    Type: Application
    Filed: October 24, 2012
    Publication date: February 13, 2014
    Applicant: SanDisk Technologies Inc.
    Inventors: Deepak Pancholi, Srikanth Bojja, Bhavin Odedara
  • Patent number: 8650527
    Abstract: A software tool and method for analyzing the reliability or failure rate of an integrated circuit (IC) are disclosed. The IC may include a plurality of circuit designs, and the software tool and method may aid a designer of the IC in determining a reliability rating of the IC based on reliability ratings of transistors or other circuit devices used in the circuit designs. In particular, the IC may include one or more circuit designs that have multiple instances within the IC (i.e., the same circuit design is instantiated multiple times), and the software tool and method may take into account the multiple instances when determining the reliability rating of the IC.
    Type: Grant
    Filed: October 30, 2012
    Date of Patent: February 11, 2014
    Assignee: Apple Inc.
    Inventors: Antonietta Oliva, Gregory S Scott, Edgardo F Klass, Vincent R von Kaenel
  • Publication number: 20140033160
    Abstract: Software controlled transistor body bias. A target frequency is accessed. Using software, transistor body-biasing values are determined for the target frequency in order to enhance a characteristic of a circuit. The bodies of the transistors are biased based on the body-biasing values, wherein the characteristic is enhanced.
    Type: Application
    Filed: January 14, 2013
    Publication date: January 30, 2014
    Inventors: David R. Ditzel, James B. Burr
  • Patent number: 8640074
    Abstract: A digital circuit block includes first to fourth conducting segments, a digital logic, first and second conducting layers, and a dielectric layer. The first and second conducting segments are coupled to first and second supply voltages, respectively. The digital logic and dielectric layer are between the first and second conducting segments. The third conducting segment includes a first end electrically connected to the first conducting segment, a second end not electrically connected to the second conducting segment, and a first portion located at the first conducting layer. The fourth conducting segment includes a first end electrically connected to the second conducting segment, a second end not electrically connected to the first conducting segment, and a second portion located at the second conducting layer. The first and second portions and dielectric layer are formed a first capacitive element to reduce the supply voltage drop between the first and second supply voltages.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: January 28, 2014
    Assignee: Mediatek Inc.
    Inventors: Shen-Yu Huang, Chih-Ching Lin
  • Patent number: 8640062
    Abstract: A mechanism is provided for rapid estimation of temperature rise in wires due to Joule heating. The mechanism provides fast and accurate estimation of temperature rise in wires due to self heating. Fast estimation is important to handle millions of nets at the full-chip level. The mechanism models lateral heat flow by considering longitudinal heat flow along the wire and lateral thermal coupling to the other wires in the same level. Lateral heat flow can have a significant effect on the temperature rise. The mechanism also models vertical heat flow to the substrate and the heat sink by considering thermal conductivities of vias and inter-layer dielectric (ILD). The mechanism efficiently solves the thermal system to enable physical design optimizations (e.g., wire sizing, etc.) for fixing electromigration violations.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kanak B. Agarwal, Sani R. Nassif, Ronald D. Rose, Chenggang Xu
  • Patent number: 8640069
    Abstract: Provided is a noise analysis model and a noise analysis method that can analyze effects of substrate noise on each of elements included in a circuit to be analyzed. The noise analysis model includes first to third resistors. The first resistor serves as a substrate resistor in a semiconductor substrate between a first point set in the semiconductor substrate between a noise source and a transistor to which substrate noise from the noise source propagates through the semiconductor substrate and a second point set in the semiconductor substrate just below a back gate of the transistor. The second resistor serves as a substrate resistor in the semiconductor substrate between the second point and a fixed potential region near the transistor. The third resistor serves as a line resistor of a line connecting the fixed potential region and a power pad that supplies a ground potential.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: January 28, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Masaaki Soda
  • Patent number: 8633751
    Abstract: Power gating control and related circuitry for integrated circuits is described herein. A centralized power gating control circuit uses trigger circuits to control the on/off switching of power gating circuits distributed at different points in a chip, integrated circuit, module or block (collectively “IC”). The power gating circuits may include power gates partitioned for sleep and shutdown modes. The shutdown mode power gates may employ multi-level power gate architecture to minimize inrush current during power-up of the IC. Each level may be associated with or tied to a trigger circuit and activated based on a voltage level reaching the voltage threshold of the trigger circuit. The power gating control and related circuitry may be embedded in the IC.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: January 21, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Arun B. Hegde
  • Patent number: 8635572
    Abstract: Circuits, architectures, a system and methods for providing multiple power rails to a plurality of standard cells in a region of an integrated circuit. The circuitry generally includes a plurality of cells configured for connection to a first or second power rail, the first power rail providing a first voltage to at least one of the plurality of cells, and the second power rail providing a second voltage (which may be independent from the first voltage) to remaining cells in the plurality of cells. The method generally includes routing, in an IC layout, a first power rail providing a first voltage and a second power rail providing a second voltage, placing the plurality of cells, and selectively connecting first and second subsets of the plurality of cells to the first and second power rails, respectively. The present invention further advantageously minimizes regional layout design considerations and time delays.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: January 21, 2014
    Assignee: Marvell International Ltd.
    Inventors: Jianwen Jin, Eugene Ye
  • Patent number: 8635578
    Abstract: A system and method enable strengthening of flip-Flops (FFs) in an integrated circuit (IC) for the purpose of reducing power consumption. This is achieved by using stability condition (STC) and observability don't-care (ODC) techniques. Strengthening enable is defined as ensuring that a FF later in the fan-out is enabled only when a FF earlier in the fan-out is driving a signal to that later FF. In an embodiment the fan-in of a FF is traversed and the STC or ODC is determined for the FF. Dependent on the determination a STC controller or an ODC controller is added to control the FF's enable signal. In an embodiment the power savings is checked and a controller is added only if there is a reduction in overall power consumption resulting from the addition of the controller.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: January 21, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad Homayoun Movahed-Ezazi, Siddharth Guha, Vaibhav Jain
  • Patent number: 8635583
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: January 21, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8631381
    Abstract: The subject application relates to a method and system for power delivery network analysis. The present disclosure is directed towards a computer-implemented method for analyzing a power delivery network (PDN) associated with an electronic circuit design. Embodiments may include extracting, using at least one processor, an electromagnetic (EM) model for each of one or more discontinuity structures associated with the circuit design and generating a three dimensional adaptive mesh model that is based upon, at least in part, the extracted EM model. Numerous other features are also within the scope of the present disclosure.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: January 14, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Wenliang Dai, Lanbing Chen, Guoying Feng, Ping Liu, Dennis Nagle, Jilin Tan, Wenjian Zhang, Qi Zhao, ZhongYong Zhou