For Timing Patents (Class 716/134)
  • Patent number: 8539402
    Abstract: In one embodiment of the invention, a method includes partitioning an integrated circuit design into a hierarchy of a top level and a plurality of partitions, wherein the top level includes a top level netlist and each partition includes a partition netlist; receiving data path timing budgets and clock path timing budgets for each of the plurality of partitions of the integrated circuit design; and generating a timing budget model of each partition in response to the respective data path timing budgets and clock path timing budgets, wherein each timing budget model includes an intra-partition clock timing constraint for each respective partition for independent implementation of the top level.
    Type: Grant
    Filed: December 15, 2012
    Date of Patent: September 17, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Oleg Levitsky, Dinesh Gupta
  • Patent number: 8539423
    Abstract: One aspect provides a method of designing an integrated circuit. In one embodiment, the method includes: (1) generating a functional design for the integrated circuit, (2) determining performance objectives for the integrated circuit, (3) determining an optimization target voltage for the integrated circuit, (4) determining whether the integrated circuit needs voltage scaling to achieve the performance objectives at the optimization target voltage and, if so, whether the integrated circuit is to employ static voltage scaling or adaptive voltage scaling, (5) using the optimization target voltage to synthesize a layout from the functional integrated circuit design that meets the performance objectives by employing standardized data created by designing at least one representative benchmark circuit, and (6) performing a timing signoff of the layout at the optimization target voltage.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: September 17, 2013
    Assignee: Agere Systems, LLC
    Inventors: Joseph J. Jamann, James C. Parker, Vishwas M. Rao
  • Patent number: 8539414
    Abstract: An electronic design automation (EDA) tool alters a user's netlist to provide timing success for distribution of asynchronous signals. Distribution networks are used with the addition of pipeline registers before and/or after the distribution buffer. Or, a tree of pipeline registers is inserted between the asynchronous source and the destination registers. Or, any number of distribution networks are stitched together and pipeline stages may be inserted before and/or after each distribution buffer. Or, beneficial skew is utilized by introducing a delay component that skews a clock signal. The skewed clock signal drives a pipeline register that is inserted before a distribution buffer in order to improve timing margin. Any of various compilation techniques may be used within the EDA tool to solve the problem of distributing high-speed, high-fanout asynchronous signals.
    Type: Grant
    Filed: January 4, 2010
    Date of Patent: September 17, 2013
    Assignee: Altera Corporation
    Inventors: Mark Bourgeault, Ryan Fung, David Lewis
  • Patent number: 8533649
    Abstract: A method for reducing leakage power of an IC during the design of the IC. A cell based IC design is received that includes a plurality of signal paths with positive slack. The positive slack is converted to negative slack by replacing cell instances in the IC design with footprint equivalent variants of the cell instances. The negative slack is converted back to positive slack via an iterative path-based analysis of the IC design. In each iteration, a path is selected that has negative slack and replacement values are computed for cell instances in the path. One or more cell instances in the path are then replaced with variants based on the replacement values.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: September 10, 2013
    Assignee: Synopsys, Inc.
    Inventor: Sridhar Tirumala
  • Patent number: 8533654
    Abstract: An iterative method may comprise obtaining a current input signal value for a current iteration, comparing the current input signal value with an output signal value determined in a previous iteration, updating a counter value determined in the previous iteration based on the comparison such that the updated counter value replaces the previously determined counter value, determining a slew value based on the counter value, and adding the slew value to the previously determined output signal value to generate a new current output signal value. Different slew values may be added to the previous output signal to obtain a new output signal. The counter value is updated to reflect recent trends in the input signals. For example, if the input signal is on an upward trend, the counter value may be relatively high because it is incremented each time an input signal exceeds a previously determined output signal.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: September 10, 2013
    Assignee: Atmel Corporation
    Inventors: Harald Philipp, Esat Yilmaz
  • Patent number: 8527933
    Abstract: An integrated circuit device layout is created based on charge carrier mobility characteristics of the device's non-functional cells. The charge carrier mobility of the non-functional cells can alter behavioral characteristics such as the hold time, setup time, or leakage current of nearby functional logic cells. Accordingly, a layout tool creates the layout for the integrated circuit device by selecting and placing non-functional cells having different mobility so as to selectively alter the characteristics of nearby logic cells.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: September 3, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Puneet Sharma
  • Patent number: 8527927
    Abstract: Some embodiments provide a system that facilitates the creation of a design in an electronic design automation (EDA) application. During operation, the system determines a processing order for processing a set of cells in the design. In some embodiments, the processing order can be a reverse-levelized processing order. Next, the system may select a cell for performing area recovery according to the processing order. The system may then tentatively perform an area-recovery operation on the selected cell. Next, the system may determine a zone around the selected cell. Next, the system may propagate arrival times within the zone to obtain updated slack values at endpoints of the zone. The system may compute one or more timing metrics at the endpoints. If the updated slack values do not degrade the timing metric(s) at the endpoints, the system may accept the area-recovery operation of the selected cell.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: September 3, 2013
    Assignee: Synopsys, Inc.
    Inventors: Robert Walker, Mahesh A. Iyer
  • Patent number: 8522188
    Abstract: In a method of designing a system-on-chip including a tapless standard cell to which body biasing is applied, a slow corner timing parameter is adjusted to increase a slow corner of an operating speed distribution for the system-on-chip by reflecting forward body biasing, and a fast corner timing parameter is adjusted to decrease a fast corner of the operating speed distribution for the system-on-chip by reflecting reverse body biasing. The system-on-chip including the tapless standard cell is implemented based on the adjusted slow corner timing parameter corresponding to the increased slow corner and the adjusted fast corner timing parameter corresponding to the decreased fast corner. The slow corner timing parameter corresponds to a lowest value of an operating speed design window of the system-on-chip, and, the fast corner timing parameter corresponds to a highest value of the operating speed design window of the system-on-chip.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: August 27, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Ock Kim, Jae-Han Jeon, Jung-Yun Choi, Kee-Sup Kim, Hyo-Sig Won
  • Patent number: 8522187
    Abstract: A method to optimize performance of an electric circuit design is disclosed. The method comprises providing for each circuit element of the electric circuit design available design parameter options; transforming the electric circuit design and the design parameter options into a linear programming model; determining a solution for the linear programming model; and based on the solution generating a list of circuit elements which design parameters need to be changed to a different option to achieve performance optimization.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: August 27, 2013
    Assignee: International Business Machines Corporation
    Inventors: Niels Fricke, Bernd Kemmler, Juergen Koehl, Karsten Muuss, Matthias Ringe
  • Patent number: 8516424
    Abstract: A system for, and method of, performing static timing analysis. In one embodiment, the system includes: (1) a CVS tool configured to determine a cell-based voltage supply corresponding to each of a plurality of cells in an integrated circuit design and (2) an STA tool configured to derate the each of the cells based on the corresponding cell-based voltage supply.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Alexander Tetelbaum, Hyuk-Jong Yi
  • Patent number: 8516408
    Abstract: Techniques for forming a first electronic circuit including a plurality of instances of a repeatable circuit element include the steps of: obtaining a total number of instances of the repeatable circuit element in a design of an IC including the first electronic circuit and at least a second electronic circuit; and configuring at least one functional parameter of the first electronic circuit as a function of the total number of instances of the repeatable circuit element in the IC to thereby satisfy a prescribed minimum composite manufacturing yield of the IC and/or at least one specification of the IC under prescribed operating conditions.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Richard Bruce Dell, Ross A. Kohler, Richard J. McPartland, Wayne E. Werner
  • Patent number: 8510696
    Abstract: Solutions for ordering of statistical correlated quantities are disclosed. In one aspect, a method includes timing a plurality of paths in an integrated circuit to determine a set of timing quantities associated with each of the plurality of paths; determining a most critical timing quantity in the set of timing quantities; forming a tiered timing quantity arrangement for ordering a plurality of timing quantities in the set of timing quantities; removing the most critical timing quantity from the set of timing quantities and placing the most critical timing quantity in an uppermost available tier of the tiered timing quantity arrangement; and repeating the determining, forming and removing for the set of timing quantities excluding the removed most critical timing quantity.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: August 13, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chandramouli Visweswariah, Jinjun Xiong, Vladimir P. Zolotov
  • Patent number: 8504955
    Abstract: A timing adjustment device includes a plurality of receive circuits that receive an input signal based on mutually different timings, a determination circuit that determines a first transition and a second transition of the input signal based on a received result by receive circuits, among the plurality of receive circuits, that receive the input signal with adjacent timings among different timings of the plurality of receive circuits, and an adjustment circuit that adjusts the receiving timing of the input signal so that the receiving timing of the input signal becomes close to a central timing of a period according to the first transition and the second transition.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: August 6, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Shigeki Kawai
  • Patent number: 8504970
    Abstract: A method for generating a design for a system to be implemented on a target device includes compiling the design. Information used to make a compilation decision on the design is stored. A strategy to improve timing closure on a signal path on the design is derived using the information.
    Type: Grant
    Filed: July 5, 2011
    Date of Patent: August 6, 2013
    Assignee: Altera Corporation
    Inventors: Shawn Malhotra, Mark Ari Teper, Steven Caranci, Ketan Padalia, Mark Bourgeault
  • Patent number: 8504961
    Abstract: An integrated circuit includes processing circuitry that includes a plurality of critical path circuits. These critical path circuits include variable delay circuits which add an additional delay in to a path delay through each of the critical path circuits so as to adjust the path delay to match a target path delay. Variable delay circuit includes a tank capacitor which is charged or discharged to generate a control voltage. This control voltage serves to control a power supply voltage fed to an inverter chain. Variation in the power supply voltage of the inverter chain adjust the propagation speed of a processing signal through the inverter chain and accordingly adjusts the additional delay imposed by the variable delay circuit.
    Type: Grant
    Filed: May 18, 2011
    Date of Patent: August 6, 2013
    Assignee: ARM Limited
    Inventor: Virgile Javerliac
  • Patent number: 8504978
    Abstract: In one embodiment of the invention, a method includes reading an automatically generated timing budgeting file, including timing budget information for a plurality of partitions of an integrated circuit design; graphically displaying a time budgeting debug window on a display device; and graphically displaying a timing budget analyzer window on the display device in response to selection of a selected signal path in a path list window pane. The timing budget analyzer window graphically displays timing budgets and timing delays of a selected path for visual comparison. The time budgeting debug window includes a button with a path category menu to display one or more signal paths meeting a selected path category, and a path list window pane to display a list of one or more signal paths through one or more ports of the plurality of partitions in response to the selected path category in the path category menu.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: August 6, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vivek Bhardwaj, Didier Seropian, Oleg Levitsky
  • Patent number: 8504971
    Abstract: A method, system, and integrated circuit including selectively added timing margin. The method, for integrating statistical timing and automatic test pattern generation (ATPG) to selectively add timing margin in an integrated circuit, includes identifying, while a chip is in design, paths that are unable to be robustly tested “at speed” during manufacturing test, running statistical timing to calculate a margin to be applied to the paths, updating design specifications for margin to be applied to the paths, and optimizing chip logic based on updated design specifications.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: August 6, 2013
    Assignee: International Business Machines Corporation
    Inventors: David E. Lackey, Chandramouili Visweswariah, Paul S. Zuchowski
  • Patent number: 8499274
    Abstract: A tool includes one or more machine readable storage mediums encoded with data. The data include a list of standard cells included in an integrated circuit (IC) design The data include a nominal leakage value approximating a respective median leakage value for each of the plurality of standard cells at a predetermined temperature and voltage. The data include at least one table including adjustment factors for calculating leakage based on voltage, temperature and process variations. The table includes a respective statistical scaling factor, for computing a mean leakage corresponding to a given median leakage. A processor is programmed to calculate and output a total IC leakage for the IC design at an input voltage and input temperature, based on the list, the nominal leakage values, the input voltage, the input temperature and at least one of the adjustment factors.
    Type: Grant
    Filed: February 23, 2012
    Date of Patent: July 30, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Ju Chao, Jerry Chang-Jui Kao, King-Ho Tam, Chung-Hsing Wang, Huan Chi Tseng
  • Patent number: 8499267
    Abstract: A delay library generation apparatus, associated control method, and associated program are provided. The delay library generation apparatus comprises a storage device which stores architecture information of a logic element array, layout data of an overall programmable logic device, a netlist of the overall programmable logic device, and a wiring route extraction unit which refers to the storage device and extracts wiring route information regarding a wiring route section based on the architecture information. Moreover, the delay library generation apparatus comprises an analyzing unit which analyzes the layout data of the logic device and extracts parameters of a parasitic element and a crosstalk between adjacent interconnections. The delay generation apparatus further comprises a delay calculation unit which calculates delay data based on the extracted parameters and a delay library generation unit which generates a delay library of the logic device based on the wiring route information and the delay data.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 30, 2013
    Assignee: Nec Corporation
    Inventors: Toru Awashima, Yoshitaka Izawa
  • Patent number: 8495537
    Abstract: A method, system or computer usable program product for performing timing analysis on an array circuit including receiving in memory a set of pins to be timed, selecting with a data processor a cross section of the array circuit including the set of pins wherein a backtrace is performed from the set of pins to identify a set of bus groups, each bus group having a plurality of timing pins, and assigning timing for an assigned pin of a first bus group equal to timing calculated for a surrogate pin of the first bus group based on array circuit regularity.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Shyamkumar Thoziyoor, Tae H. Kim, Sang Y. Lee
  • Publication number: 20130185692
    Abstract: In a method of designing a system-on-chip including a tapless standard cell to which body biasing is applied, a slow corner timing parameter is adjusted to increase a slow corner of an operating speed distribution for the system-on-chip by reflecting forward body biasing, and a fast corner timing parameter is adjusted to decrease a fast corner of the operating speed distribution for the system-on-chip by reflecting reverse body biasing. The system-on-chip including the tapless standard cell is implemented based on the adjusted slow corner timing parameter corresponding to the increased slow corner and the adjusted fast corner timing parameter corresponding to the decreased fast corner. The slow corner timing parameter corresponds to a lowest value of an operating speed design window of the system-on-chip, and, the fast corner timing parameter corresponds to a highest value of the operating speed design window of the system-on-chip.
    Type: Application
    Filed: September 25, 2012
    Publication date: July 18, 2013
    Inventors: Hyung-Ock KIM, Jae-Han JEON, Jung-Yun CHOI, Kee-Sup KIM, Hyo-Sig WON
  • Patent number: 8490045
    Abstract: A method, system, and integrated circuit including selectively added timing margin. The method, for integrating statistical timing and automatic test pattern generation (ATPG) to selectively add timing margin in an integrated circuit, includes identifying, while a chip is in design, paths that are unable to be robustly tested “at speed” during manufacturing test, running statistical timing to calculate a margin to be applied to the paths, updating design specifications for margin to be applied to the paths, and optimizing chip logic based on updated design specifications.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: David E. Lackey, Chandramouili Visweswariah, Paul S. Zuchowski
  • Patent number: 8490040
    Abstract: A method and system for dispositioning integrated circuit chips. The method includes performing a performance path test on an integrated circuit chip having one or more clock domains, the performance path test based on applying test patterns to selected sensitizable data paths of the integrated circuit chip at different clock frequencies; and dispositioning the integrated circuit chip based on results of the performance path test.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: July 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Peter A. Habitz, Vikram Iyengar, David E. Lackey, Jinjun Xiong
  • Patent number: 8490043
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: July 16, 2013
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Publication number: 20130179854
    Abstract: According to one embodiment, a design apparatus includes a voltage drop analyzer, an improvement calculator, first and second cell replacing modules, and an outputting module. The voltage drop analyzer specifies a peak voltage drop point based on a cell library and design data. The cell library includes information on first and cell groups. The first cell replacing module changes the design data. The improvement calculator calculates a timing improvement. The second cell replacing module extracts at least one cell from second cell group in a target device corresponding to the design data changed by the first cell replacing module as a replacement candidate cell, based on the timing improvement, and changes the design data changed by the first cell replacing module. The outputting module outputs the design data changed by the second cell replacing module.
    Type: Application
    Filed: May 22, 2012
    Publication date: July 11, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Naohito KOJIMA
  • Patent number: 8484604
    Abstract: A method and apparatus for constructing a clock tree for an integrated circuit design is disclosed, the method comprising: extracting the path delays between the sequential devices in a placed netlist by performing timing analysis on the placed netlist; and constructing a clock tree for driving the sequential devices according to the path delays between the sequential devices so as to make the sum of the products of the timing delay between any two sequential devices and a clock tree branch weight of the two sequential devices minimum, wherein the clock tree branch weight of the two sequential devices is positively correlated with the number of clock tree levels from the branch point of the clock tree relative to the two sequential devices to the two sequential devices.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: July 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Guofan Jiang, Yi Fan Lin, Yang Liu, Hao Yang
  • Patent number: 8484597
    Abstract: An integrated circuit manufacturing method comprising: calculating a threshold value from a value of a parameter which characterizes at least a part of a design pattern shape of a transistor on the target path; calculating a difference between the calculated threshold value and a target threshold value; calculating a change quantity of a gate length corresponding to the difference between the threshold value and the target threshold value according to the functional relation between the threshold value of the transistor and the gate length, which is determined based on the empirical value or the experimental value; reducing, by the change quantity, the gate length of the transistor on the target path; and manufacturing an integrated circuit on the basis of design information of the circuit including the transistor of which the gate length is changed.
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: July 9, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Hiroshi Arimoto
  • Publication number: 20130174114
    Abstract: In an embodiment, a buffer bay is represented with a moveable object that has a location within a unit in a netlist. The location of the moveable object that represents the buffer bay is changed to a new location in the netlist if changing the location improves placement within the unit. In an embodiment, a net weight of a net that connects the moveable object to an artificial pin is considered in determining whether to change the location to the new location. In an embodiment a bounding area that encompasses the location is considered in determining whether to change the location to the new location.
    Type: Application
    Filed: February 27, 2013
    Publication date: July 4, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: INTERNATIONAL BUSINESS MACHINES CORPORATION
  • Publication number: 20130174104
    Abstract: Gating clocks has been a widely adopted technique for reducing dynamic power. The clock gating strategy employed has a huge bearing on the clock tree synthesis quality along with the impact to leakage and dynamic power. This invention is a technique for clock gate optimization to aid the clock tree synthesis. The technique enables cloning and redistribution of the fanout among the existing equivalent clock gates. The technique is placement aware and hence reduces overall clock wire length and area. The technique involves employing the k-means clustering algorithm to geographically partition the design's registers. This invention improves the clock tree synthesis quality on a complex design.
    Type: Application
    Filed: September 14, 2012
    Publication date: July 4, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Ramamurthy Vishweshwara, Mahita Nagabhiru, Venkatraman Ramakrishnan
  • Publication number: 20130174115
    Abstract: An integrated circuit design optimization procedure to modify a cell feature, such as gate length, models changes in delay as a result of the modification. In the delay change calculation, a characteristic of an event in cell switching behavior, such as the output short-circuit voltage VSC, is determined for the modified cell, where changes in the determined characteristic correlate with changes in delay of the cell due to the modification. Next, a value for delay of the modified cell is determined as a function of the determined characteristic of the event. The procedure can be applied after placement and routing. A timing-constrained, leakage power reduction is described using the delay change model.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 4, 2013
    Applicant: SYNOPSYS, INC.
    Inventor: SYNOPSYS, INC.
  • Patent number: 8479138
    Abstract: Techniques that can improve the efficiency of routing where connections are subject to elongation constraints. The design can be optimized by estimating elongation needed to meet constraints after an initial routing solution has been generated, but before elongation is actually applied to detailed paths. Paths can be re-routed at this earlier stage if it is determined that too much elongation, or too much elongation in crowded areas, will need to be added after the detail routing stage.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: July 2, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Randall Scott Lawson, Richard Allen Woodward, Jr., Brett Allen Neal, Ken Wadland
  • Patent number: 8479142
    Abstract: Methods and apparatuses to design and analyze digital circuits with time division multiplexing. At least one embodiment of the present invention efficiently models subsystems connected by a TDM channel by introducing equivalent delays in the connections for the subsystems, where the delays are determined according to the upper bounds of the delays caused by the TDM channel. The TDM channel is modeled with its equivalent delays. Thus, a transformation tool is allowed to take into account the original constraints and time budgeting of the sending subsystem and the receiving subsystem. The problem of asynchronous clock domains is eliminated; and, simulation time of the multiplexed circuit is also improved. In some embodiments of the present invention, multiple TDM slots are assigned to a particular signal to reduce the equivalent connection delay caused by the TDM channel for the particular signal.
    Type: Grant
    Filed: January 30, 2006
    Date of Patent: July 2, 2013
    Assignee: Synopsys, Inc.
    Inventors: Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 8473884
    Abstract: A slack-based timing budget apportionment methodology relies not only upon timing analysis-based determinations of slack in the units in an integrated circuit design, but also potential performance optimization opportunities in the logic used to implement such circuits. Logic in various units of an integrated circuit design that is amenable to being replaced with comparatively faster logic may be identified during timing budget apportionment, such that the magnitude of the slack reported for those units can be adjusted to account for such potential performance improvements. Then, when timing budgets are reapportioned using the slack calculated for each unit, additional slack is available to be reapportioned to those units needing larger timing budgets.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: June 25, 2013
    Assignee: International Business Machines Corporation
    Inventors: Ronald J. Daede, Timothy D. Helvey
  • Publication number: 20130159958
    Abstract: Circuit simulation can be performed on digital, analog, and mixed signal types of circuitry. Phases of operation are identified for a circuit and transient behavior is analyzed. Multiple time points are identified and the circuit is replicated for those time points with evaluation of the circuitry performed at those various time points. Simultaneous optimization is performed across the time points. Transistors and other devices can have their lengths, widths, and number of fingers optimized. Simulation can include determining Kirchhoff current law equations for various nodes within the circuit. Equations describing device operation can include non-convex signomial equations and convex polynomial equations.
    Type: Application
    Filed: December 14, 2012
    Publication date: June 20, 2013
    Applicant: SYNOPSYS, INC.
    Inventor: Synopsys, Inc.
  • Patent number: 8468487
    Abstract: A method for designing a system on a field programmable gate array (FPGA) includes routing one or more booster wires alongside an interconnect to reduce a delay of a signal being transmitted on the interconnect. According to one aspect of the present invention, the routing of the one or more booster wires is performed in response to determining that a timing requirement of the system has not been met.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: June 18, 2013
    Assignee: Altera Corporation
    Inventors: Zahir Parpia, Chris Wysocki, Vaughn Betz
  • Patent number: 8468488
    Abstract: Methods and software for methods and software for placing and routing a signal path in an integrated circuit layout are disclosed. The signal path generally includes a plurality of cells and combinational paths having at least one net between said cells. The method includes determining whether an adjacent cell can be swapped with a selected cell (e.g., where the selected cell is one of the cells of the signal path and the adjacent cell is adjacent to the selected cell in the layout), determining whether a delay of the signal path decreases after swapping positions of the adjacent cell and the selected cell, and determining whether swapping the adjacent and selected cells causes a timing violation in another signal path of the layout. The present invention advantageously provides an automated method of improving the timing characteristics of poorly performing signal paths, without causing timing violations in other signal paths in the same integrated circuit.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: June 18, 2013
    Assignee: Golden Gate Technology, Inc.
    Inventor: Michael Burstein
  • Patent number: 8468483
    Abstract: In embodiments of a statistical static timing analysis (SSTA) method, system and program storage device, the interdependence between the setup time and hold time margins of a circuit block (e.g., a latch, flip-flop, etc., which requires the checking of setup and hold timing constraints) is determined, taking into account possible variations in multiple parameters (e.g., using a variation-aware characterizing technique). A parameterized statistical static timing analysis (SSTA) of a circuit incorporating the circuit block is performed in order to determine, in statistical parameterized form, setup and hold times for the circuit block. Based on the interdependence between the setup and hold time margins, setup and hold time constraints can be determined in statistical parameterized form. Finally, the setup and hold times determined during the SSTA can be checked against the setup and hold time constraints to determine, if the time constraints are violated or not and to what degree.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: June 18, 2013
    Assignee: International Business Machines Corporation
    Inventors: Nathan C. Buck, Brian M. Dreibelbis, John P. Dubuque, Eric A. Foreman, Peter A. Habitz, Jeffrey G. Hemmett, Natesan Venkateswaran, Chandramouli Visweswariah, Xiaoyue Wang, Vladimir Zolotov
  • Publication number: 20130152036
    Abstract: Disclosed is a technique for providing minimal sequential overhead in a flip-flop circuit. Equalization of setup times is achieved in one embodiment. In addition, delays in clock to Q can be equalized for both rising data transitions and falling data transitions. Large setup times are not required since optimization techniques equalize setup times for both rising and falling data transitions.
    Type: Application
    Filed: February 7, 2013
    Publication date: June 13, 2013
    Applicant: LSI CORPORATION
    Inventor: LSI Corporation
  • Patent number: 8464199
    Abstract: A method for designing an integrated circuit. A computer determines, for one or more paths in a circuit design, for a value of a design variable at which timing closure of the circuit design is achieved, an approximate slope of a function representing path delay as a function of the design variable. When the computer determines that one of the approximate slopes is not within a defined slope range, the computer determines an adjustment direction and an adjustment value based in part on the magnitude by which the slope is not within the defined slope range. The computer changes the circuit design of the path associated with the out-of-range slope, based in part on the adjustment direction and the adjustment value, so as to bring the slope within the defined slope range.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: June 11, 2013
    Assignee: International Business Machines Corporation
    Inventors: Margaret R. Charlebois, Christopher D. Hanudel, Robert D. Herzl, David W. Milton, Clarence R. Ogilvie, Paul M. Schanely, Tad J. Wilder
  • Publication number: 20130145336
    Abstract: Systems and techniques for optimizing a circuit design are described. Some embodiments reduce the number of gates in the library (e.g., by dynamically pruning the library) which are considered for optimization. Some embodiments create a linear delay model, and use the linear delay model instead of a non-linear delay model to substantially reduce the amount of computation required to check whether or not a particular replacement gate improves one or more metrics of the circuit design. Some embodiments determine an order for processing the gates in the library or for processing input pins of a gate to facilitate early rejection of a candidate gate in the library of gates. In some embodiments, the evaluation of the impact of a candidate gate transformation is done progressively and level-by-level only up to the point where the gate transformation degrades one or more metrics.
    Type: Application
    Filed: April 3, 2012
    Publication date: June 6, 2013
    Applicant: SYNOPSYS, INC.
    Inventors: Mahesh A. Iyer, Robert Walker, Sudipto Kundu
  • Publication number: 20130145339
    Abstract: Techniques and systems are described for improving the efficiency of timing calculations in numerical sequential cell sizing and for improving the efficiency of incremental slack margin propagation. Some embodiments cache timing-related information associated with a source driver that drives an input of a sequential cell that is being sized, and/or timing-related information for each output of the sequential cell that is being sized. The cached timing-related information for the source driver can be reused when sizing a different sequential cell. The cached timing-related information for the outputs of the sequential cell can be reused when evaluating alternatives for replacing the sequential cell. Some embodiments incrementally propagate slack margins in a lazy fashion (i.e., only when it is necessary to do so for correctness or accuracy reasons) while sizing gates in the circuit design in a reverse-levelized processing order.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 6, 2013
    Applicant: SYNOPSYS, INC.
    Inventor: Synopsys, Inc.
  • Publication number: 20130145337
    Abstract: Systems and techniques for optimizing a circuit design are described. When a selected gate is transformed during optimization, it causes a slack value at a pin of the transformed gate to change. The change in the slack value, called the delta-slack, is then propagated through a transitive fanin cone and a transitive fanout cone of the transformed gate to compute the new slack values at all the affected pins of the design. Some embodiments update slack values without propagating arrival and required times, and also without repeatedly evaluating timing arcs to compute gate delays. The updated slack values can be used to compute timing metrics. The timing metrics can be used to decide whether or not to commit the gate transformation to the circuit design.
    Type: Application
    Filed: May 29, 2012
    Publication date: June 6, 2013
    Applicant: SYNOPSYS, INC.
    Inventors: Mahesh A. Iyer, Robert L. Walker
  • Publication number: 20130145338
    Abstract: Systems and techniques are described for determining a transition-effect model for a timing arc of a library cell. A transition-effect model can be determined for each library cell that is used during an optimization process. The transition-effect models enable an optimization system to estimate the impact of a change in the transition at an output of a driver gate on the delays of downstream gates without requiring to propagate the change in the transition to the downstream gates. Once determined, the transition-effect models can be used to compute one or more transition-induced penalties during circuit optimization. An optimization system can then use the one or more transition-induced penalties to determine whether or not to accept an optimizing transformation, or to discretize a solution obtained from a numerical solver.
    Type: Application
    Filed: September 27, 2012
    Publication date: June 6, 2013
    Applicant: SYNOPSYS, INC.
    Inventor: Synopsys, Inc.
  • Patent number: 8458633
    Abstract: A semiconductor integrated circuit design apparatus for analyzing a delay in a semiconductor integrated circuit. The semiconductor integrated circuit includes a delay analysis unit, a noise generation unit, a voltage fluctuation level analysis unit and a timing verification unit. The noise generation unit generates noise information based on a predetermined noise definition and the voltage fluctuation level analysis unit analyzes a voltage fluctuation level of the semiconductor integrated circuit when the noise is applied based on the generated noise information. Further, the timing verification unit makes the delay analysis unit analyze the static delay based on the analyzed voltage fluctuation level, to verify timing for operation of the semiconductor integrated circuit based on a result of the static delay analysis.
    Type: Grant
    Filed: April 21, 2010
    Date of Patent: June 4, 2013
    Assignees: NEC Corporation, Renesas Electronics Corporation
    Inventors: Yoshihiro Ono, Takeshi Watanabe, Naoshi Doi, Itsuki Yamada, Tsuneo Tsukagoshi
  • Patent number: 8453085
    Abstract: Estimating the latency time of the clock tree of an ASIC including: providing a netlist and a placement related to the clock tree of the ASIC; extracting a number of the load timing devices connected by the clock tree according to the netlist related to the clock tree; extracting a physical distribution area of the load timing devices connected by the clock tree according to the placement related to the clock tree; estimating a latency time of the clock tree according to the relationship between the number of the load timing devices, the physical distribution area of the load timing devices and latency time of the clock tree in design data related to the ASIC design.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Liang Ge, Gong Qiong Li, Suo Ming Pu, Chen Xu
  • Patent number: 8453101
    Abstract: Disclosed are embodiments of a method, system and program storage device for generating accurate performance targets for active semiconductor devices during technology node development in order to reduce the number of iterations required for model extraction and/or to improve model quality. In these embodiments, initial sets of performance targets for related semiconductor devices are generated, e.g., by making assumptions based on hardware measurements taken from semiconductor devices in prior technology nodes. Additional processes are then performed on the initial sets of performance targets prior to the modeling stage in order to detect and resolve any inconsistencies between the data in the sets. Specifically, plotting techniques are performed with respect to the performance targets. The results are analyzed to detect any inconsistencies indicating that the performance targets are inaccurate and adjustments are made to the performance targets in order to resolve those inconsistencies.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: James M. Johnson, Scott K. Springer, Rainer Thoma, Josef S. Watts
  • Patent number: 8448124
    Abstract: A mechanism is provided for post timing layout modification for performance. The mechanism selectively applies layout modification based on timing analysis at the path level. The mechanism applies stress only to transistors that are in a setup critical path without applying stress to transistors in hold critical paths. The mechanism may use a method to apply stress to improve performance of a transistor in a setup critical path, as long as the stress does not also improve performance of a neighboring transistor in a hold critical path. In some instances, the mechanism may apply stress to improve performance of a transistor in a setup critical path while simultaneously degrading performance of a transistor in a hold critical path.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Uwe Fassnacht, Veit Gernhoefer, Michael S. Gray, Joachim Keinert
  • Patent number: 8448120
    Abstract: A method includes performing a place and route operation using an electronic design automation tool to generate a preliminary layout for a photomask to be used to form a circuit pattern of a semiconductor device. The place and route operation is constrained by a plurality of single patterning spacer technique (SPST) routing rules. Dummy conductive fill patterns are emulated within the EDA tool using an RC extraction tool to predict locations and sizes of dummy conductive fill patterns to be added to the preliminary layout of the photomask. An RC timing analysis of the circuit pattern is performed within the EDA tool, based on the preliminary layout and the emulated dummy conductive fill patterns.
    Type: Grant
    Filed: May 9, 2011
    Date of Patent: May 21, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-I Huang, Hsiao-Shu Chao, Yi-Kan Cheng
  • Patent number: 8448110
    Abstract: A method receives an initial circuit design. The circuit design includes at least one path having at least one beginning point comprising a source, at least one ending point comprising a sink, and one or more circuit elements between the source and the sink. The method evaluates timing performance parameter sensitivities to manufacturing variations of each of the elements to identify how much each element will increase or decrease the timing performance parameter of the path for each change in each manufacturing variable associated with manufacturing the elements. Further, the method alters the elements within the path until elements that produce positive changes to the timing performance parameter for a given manufacturing variable change approximately equals (in magnitude) elements that produce negative changes to the timing performance parameter for the given manufacturing variable change, to produce an altered circuit design.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: May 21, 2013
    Assignee: International Business Machines Corporation
    Inventors: Peter A. Habitz, Eric A. Foreman, Gustavo E. Tellez
  • Patent number: 8448111
    Abstract: A method and system for metastability verification of an integrated circuit design are provided. An IC design is received and the source-to-destination paths of the IC design are determined. For each of the determined source-to-destination paths, it is determined whether the corresponding source is synchronized. For each source its respective synchronized or unsynchronized result is stored and a report is generated for each source describing whether it is synchronized or unsynchronized.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: May 21, 2013
    Assignee: Atrenta, Inc.
    Inventors: Maher Mneimneh, Shaker Sarwary, Paras Mal Jain, Ashish Bansal, Mohammad Movahed-Ezazi, Namit Gupta