For Timing Patents (Class 716/134)
  • Patent number: 8627250
    Abstract: The invention provides a method and system for performing Static Timing Analysis on SoC (System on a Chip) designs. The invention solves a longstanding problem with timing analysis of designs, namely, the ability to multi-thread the design under analysis. The invention provides for slicing a design into levels, further decomposing each level into gates, and the multi-threaded processing of gates so that the solution of large design analysis is generated significantly faster than current approaches. Further, the invention provides that only one level exists in the RAM at any time. Once the arrival time on the level is computed, the data is saved to disk immediately. Because the memory footprint is sub-linear to the size of the design, entire system-on-a chip designs may be run on inexpensive, off-the-shelf hardware.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: January 7, 2014
    Assignee: Synopsys, Inc.
    Inventors: Guy Maor, Chin-Wei Jim Chang, Yuji Kukimoto, Haobin Li
  • Publication number: 20140007035
    Abstract: A method comprising placing elements in a layout, performing clock tree synthesis, and performing routing. The method further comprising, in parallel with one of the clock tree synthesis or the routing, performing a footprint based optimization, substituting a footprint equivalent element in a path based on a timing slack of the path.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 2, 2014
    Inventors: Barry David Turner, JR., Cristian Eugen Golovanov, Henry Shiu-Wen Sheng
  • Patent number: 8621405
    Abstract: Systems and techniques for incrementally updating Elmore pin-to-pin delays are described. During operation, an embodiment receives a representation of a physical topology of a routed net that electrically connects a driver pin to a set of load pins. The embodiment then computes a set of incremental Elmore delay coefficients based on the representation. Next, using the Elmore delay coefficients, the embodiment computes a set of delays based on the representation, wherein each delay in the set of delays corresponds to a delay between the driver pin and a corresponding load pin in the set of load pins. As load pin capacitances change during circuit optimization, the set of incremental Elmore delay coefficients can then be used to update the delays between the driver pin and the load pins in a very computationally efficient manner.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: December 31, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez
  • Patent number: 8621415
    Abstract: A power domain is automatically generated. A computer performs a function simulation process 9 for evaluating whether or not a designed circuit satisfies a specification, and a clustering process 10 which obtains a power domain by clustering logical blocks in which activation timings are within a range, based on the result of the function simulation process. Since the power domain is obtained by a process performed by the computer, the power domain can be optimized compared to a case when it is obtained by hand (manual work of the designer).
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: December 31, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Kenta Suto, Satoshi Shibatani, Ryoji Ishikawa, Ken Saito, Yoshio Inoue
  • Patent number: 8621408
    Abstract: Systems and techniques for optimizing a circuit design are described. Some embodiments reduce the number of gates in the library (e.g., by dynamically pruning the library) which are considered for optimization. Some embodiments create a linear delay model, and use the linear delay model instead of a non-linear delay model to substantially reduce the amount of computation required to check whether or not a particular replacement gate improves one or more metrics of the circuit design. Some embodiments determine an order for processing the gates in the library or for processing input pins of a gate to facilitate early rejection of a candidate gate in the library of gates. In some embodiments, the evaluation of the impact of a candidate gate transformation is done progressively and level-by-level only up to the point where the gate transformation degrades one or more metrics.
    Type: Grant
    Filed: April 3, 2012
    Date of Patent: December 31, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Robert Walker, Sudipto Kundu
  • Patent number: 8615727
    Abstract: A method of performing simultaneous multi-corner static timing analysis (STA) on a design for an integrated circuit is provided. This method can include reading design data including a netlist, parasitics, and libraries at a plurality of corners. Each corner can represent a set of process, temperature, and voltage conditions. Using the design data as inputs, a plurality of operations can be performed to generate timing reports regarding the design at the plurality of corners. Notably, each operation has a single control flow and uses vectors of samples for performing the plurality of operations. Each sample is a value associated with a corner. This method minimizes computational resource and memory usage as well as accelerates the turn around time of multi-corner analysis.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: December 24, 2013
    Assignee: Synopsys, Inc.
    Inventors: Praveen Ghanta, Amit Goel, Feroze P. Taraporevala, Marina Ovchinnikov, Jinfeng Liu, Kayhan Kucukcakar
  • Patent number: 8612910
    Abstract: A timing analysis mechanism allows defining a clock alias that correlates a clock that is not present in an integrated circuit design to a real clock in the integrated circuit design. In a first implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a new user assertion that references the real clock specified in the clock alias. The new user assertion is then used in the timing analysis and the existing user assertion is not. In a second implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a duplicate clock in the timing database, preserving all its attributes, and then passes the assertion using the alias name as well as any assertions using the corresponding real clock name to the timing engine to be used in the timing analysis.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: December 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Michael D. Amudson, Craig M. Darsow
  • Patent number: 8612912
    Abstract: A method for analyzing an IC design, comprises: using a computer implemented electronic design automation tool to perform a parasitic RC extraction for a layout of the IC design, the parasitic RC extraction outputting for each of a plurality of routing paths, a nominal capacitive coupling, a minimum capacitive coupling and a maximum capacitive coupling, where the minimum and maximum capacitive couplings correspond to circuit patterning in the presence of double patterning mask misalignments; and performing one of a setup time analysis or a hold time analysis of the IC design using a computer implemented static timing analysis tool. For a given flip-flop having a launch path and a capture path, the setup or hold time analysis is performed using the minimum capacitive coupling for one of the launch and capture paths and the maximum capacitive coupling for the other of the launch and capture paths.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: December 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Hao Chen, Yi-Kan Cheng
  • Patent number: 8612917
    Abstract: A method for selecting gate sizes for a logic network of an integrated circuit, wherein the logic network is defined by a plurality of logic paths that includes nodes, gates and interconnect, includes assigning, at one or more computers, gate sizes to gates adjacent to timing path end nodes of the logic network, determining an n-tuple of performance/loading parameters for each of the assigned gate sizes based on gate and interconnect delay models, and determining whether two or more logic paths share a descendent gate. Two or more logic paths that share a descendent gate are coupled.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: December 17, 2013
    Assignee: Oracle America, Inc.
    Inventor: Salim U. Chowdhury
  • Patent number: 8607176
    Abstract: A method for constructing delay rules which include the effects of MIS simulations for static timing analysis with reduced cost. The present method includes constructing skew windows for applying MIS penalties purely from SIS data, and scales the MIS penalties during rule use based upon how closely the skews in the use case approach the edge of the skew window. The method applies both to timing rule construction for a library of circuits and to timing rule construction for macros where only part of the circuits in the macro may be sensitive to skew between macro inputs.
    Type: Grant
    Filed: April 18, 2011
    Date of Patent: December 10, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P. Soreff, Bhavana Agrawal, David J. Hathaway
  • Patent number: 8607184
    Abstract: Methods reduce the number of newly created cells when creating new cells to optimize a design. Cells are created to optimize a design, but neighbor cells fitting a distribution of drive strengths and P/N ratios are used instead. This allows reducing the number of newly created cells to optimize the design, through uniquification of neighbor instances with respect to the distribution grid.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: December 10, 2013
    Assignee: Nangate Inc.
    Inventor: Andre Inacio Reis
  • Patent number: 8607186
    Abstract: Individual mode timing constraints associated with a set of netlists are combined into merged mode timing constraints. An initial merged mode constraint is generated by combining timing constraints from individual modes. The initial merged mode includes the union of all timing constraints from individual modes that add timing relationships and the intersection of all timing constraints from the individual modes that remove timing relationships. Extraneous timing relationships are identified in the merged mode and eliminated by introducing timing constraints in the merged mode. Equivalence between the merged mode and the individual modes is verified by comparing timing relationships in the merged mode with timing relationships in the individual modes. The merged mode is considered equivalent to the individual modes if every timing relationship present in an individual mode is present in the merged mode and every timing relationship present in the merged mode is present in any of individual modes.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: December 10, 2013
    Assignee: Synopsys, Inc.
    Inventors: Subramanyam Sripada, Sonia Singhal, Cho Moon
  • Publication number: 20130326460
    Abstract: A method of optimizing power and timing for an integrated circuit (IC) chip, which uses an IC technology that exhibits temperature inversion, by modifying a voltage supplied to the IC chip, while meeting power consumption and timing delay performances across lower and higher temperature ranges. A high voltage is selected that meets a closed timing analysis across a full temperature range to meet a timing performance and a low voltage is selected to meet the timing performance and the power performance across a lower temperature range to a temperature cut point in the higher temperature range. The IC chip is turned on at the high voltage and the high voltage is lowered to the low voltage when the temperature cut point is exceeded to meet the power performance while maintaining the timing performance.
    Type: Application
    Filed: June 28, 2013
    Publication date: December 5, 2013
    Inventors: Jeanne P. Bickford, Eric A. Foreman, Mark W. Kuemerle, Susan K. Lichtensteiger
  • Publication number: 20130326455
    Abstract: An improved circuit design system may include a computer processor to perform a placement for a circuit by physical synthesis. The system may also include a controller to compute a preferred location of at least one selected element of the circuit, and to calculate placement constraints for each selected element. The system may further include an updated design for the circuit generated by performing another round of physical synthesis with the placement constraints.
    Type: Application
    Filed: May 31, 2012
    Publication date: December 5, 2013
    Applicant: International Business Machines Corporation
    Inventors: Charles J. Alpert, Gi-Joon Nam, Chin Ngai Sze, Paul G. Villarrubia, Natarajan Viswanathan
  • Patent number: 8601427
    Abstract: A semiconductor integrated circuit includes a delay circuit connected between a source of data bits and a data input terminal of a latch circuit. The delay circuit includes a first delay section formed by connecting logic devices in series corresponding to a number of logic devices included in a clock signal path between a clock signal source and the latch circuit data input. The delay circuit also includes a second delay section having a delay time equal to an interconnect delay time corresponding to a wiring length of the clock signal path.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: December 3, 2013
    Assignee: Lapis Semiconductor Co., Ltd.
    Inventor: Masakuni Kawagoe
  • Patent number: 8594988
    Abstract: In one embodiment of the invention, a method of analyzing a circuit design is disclosed. In the method of analyzing a circuit design, a circuit is levelized into multiple levels. Circuit simulations of elements at a level are determined using circuit simulators, one for each element and in parallel in level order. Topological circuit loops may be removed from the circuit. Circuit simulation of the circuit may be performed on the circuit using the circuit simulations determined by the circuit simulators at each level of the circuit.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: November 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Athanasius W. Spyrou, Arnold Ginetti
  • Patent number: 8595683
    Abstract: A method and apparatus for generating user clocks in a prototyping system is disclosed. A prototyping system has a plurality of programmable logic chips that are each programmed with one or more partition of a prototyped circuit design. For a circuit design having multiple user clock signals, each partition uses some or all of the user clocks. A reference clock signal is externally generated, and received by each of the programmable logic chips. Using a phase-locked loop, a plurality of in-phase higher frequency clock signals are generated from the reference clock signal. The user clock signals are then generated from these higher frequency signals using a plurality of divider circuits. Reset circuitry implemented in one of the programmable logic chips transmits a common reset signal to the divider circuits, maintaining the phase relationship of each user clock across the programmable logic chips.
    Type: Grant
    Filed: April 12, 2012
    Date of Patent: November 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Philip H. de Buren, Subramanian Ganesan, Jinny Singh
  • Patent number: 8595669
    Abstract: Systems, apparatus, and methods of static timing analysis for an integrated circuit design in the presence of noise are disclosed. The integrated circuit design may be partitioned into a plurality of circuit stages. A timing graph including timing arcs is constructed to represent the timing delays in circuit stages of the integrated circuit design. A model of each circuit stage may be formed including a model of a victim driver, an aggressor driver, a victim receiver, and a victim net and an aggressor net coupled together. For each timing arc in the timing graph, full timing delays may be computed for the timing arcs in each circuit stage.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: November 26, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Igor Keller, Vinod Kariat, King Ho Tam
  • Patent number: 8589846
    Abstract: Systems and techniques are described for determining a transition-effect model for a timing arc of a library cell. A transition-effect model can be determined for each library cell that is used during an optimization process. The transition-effect models enable an optimization system to estimate the impact of a change in the transition at an output of a driver gate on the delays of downstream gates without requiring to propagate the change in the transition to the downstream gates. Once determined, the transition-effect models can be used to compute one or more transition-induced penalties during circuit optimization. An optimization system can then use the one or more transition-induced penalties to determine whether or not to accept an optimizing transformation, or to discretize a solution obtained from a numerical solver.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: November 19, 2013
    Assignee: Synopsys, Inc.
    Inventors: Amir H. Mottaez, Mahesh A. Iyer
  • Patent number: 8589842
    Abstract: An approach for performing device-based random variability modeling in timing analysis of a digital integrated circuit having a gate-level design and a device-level custom design is described. In one embodiment, an algorithm is derived from results of simulating the operational behavior of a representative digital integrated circuit. A timing analysis is performed on the device-level custom design part of the digital integrated circuit to obtain device-level random variability sensitivity values. A gate-level characterization is performed on the gate-level design part of the digital integrated circuit to obtain logic gate random variability sensitivity values. A timing analysis is performed on the digital integrated circuit as a function of both the device-level random variability sensitivity values and the logic gate random variability sensitivity values.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Manjul Bhushan, Eric J. Fluhr, Stephen G. Shuma, Debjit Sinha, Chandramouli Visweswariah, James D. Warnock, Michael H. Wood
  • Patent number: 8589843
    Abstract: A method, system, and integrated circuit including selectively added timing margin. The method, for integrating statistical timing and automatic test pattern generation (ATPG) to selectively add timing margin in an integrated circuit, includes identifying, while a chip is in design, paths that are unable to be robustly tested “at speed” during manufacturing test, running statistical timing to calculate a margin to be applied to the paths, updating design specifications for margin to be applied to the paths, and optimizing chip logic based on updated design specifications.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: David E. Lackey, Chandramouili Visweswariah, Paul S. Zuchowski
  • Patent number: 8578321
    Abstract: Systems and techniques for optimizing a circuit design are described. When a selected gate is transformed during optimization, it causes a slack value at a pin of the transformed gate to change. The change in the slack value, called the delta-slack, is then propagated through a transitive fanin cone and a transitive fanout cone of the transformed gate to compute the new slack values at all the affected pins of the design. Some embodiments update slack values without propagating arrival and required times, and also without repeatedly evaluating timing arcs to compute gate delays. The updated slack values can be used to compute timing metrics. The timing metrics can be used to decide whether or not to commit the gate transformation to the circuit design.
    Type: Grant
    Filed: May 29, 2012
    Date of Patent: November 5, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Robert L. Walker
  • Patent number: 8578304
    Abstract: A method, system and computer program product are provided for implementing multiple mask lithography timing variation mitigation for a multiple mask polysilicon (PC) process. An application specific integrated circuit (ASIC) library includes at least one circuit device for a first mask, and at least one circuit device for a second mask. Critical hold time paths and critical setup time paths are identified in a circuit design. For critical hold time paths, circuit devices in the critical hold time paths are placed on a single mask of either the first mask or the second mask. For critical setup time paths, path delays are reduced by providing a mixture of circuit devices on the first mask and the second mask.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: November 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Derick G. Behrends, Todd A. Christensen, Travis R. Hebig, Michael Launsbach
  • Patent number: 8578311
    Abstract: A computer-implemented method includes a processor identifying, within the netlist, at least one strongly connected components (SCCs) that has a reconvergent fanin input with at least two input paths from the reconvergent fanin input having a different propagation delay to the SCC. The method then computes an additive diameter for the netlist comprising at least one SCC, where the additive diameter includes a fanin additive diameter determined based on a propagation delay difference of the at least two input paths to a SCC and a number of complex feed-forward components within at least one input path. In response to the reconvergent fanin input to the SCC providing a binate function, the method computes a multiplicative diameter for the SCC utilizing a least common multiple (LCM) derived from one or more propagation delay differences across each reconvergent fanin input leading to the SCC.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: November 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Michael L. Case, Robert L. Kanzelman, Hari Mony
  • Patent number: 8578320
    Abstract: Design variable value sets for predetermined design variables are generated, and for each of the predetermined design variables, parameter value sets for predetermined parameters are generated. For each combination of them, circuit simulation is carried out to obtain a performance item value set for predetermined performance items. Then, for each of the design variable value sets, and further for each of the parameter value sets generated for a corresponding design variable value set, combinations of the design variable value set and parameter value set are identified, for which performance item values for all of the predetermined performance items are not less than performance item values obtained for a combination of the corresponding design variable value set and a corresponding parameter value set, and a yield rate is calculated by dividing the number of identified combinations by the number of parameter value sets generated for the corresponding design variable value set.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: November 5, 2013
    Assignee: Fujitsu Limited
    Inventor: Yu Liu
  • Patent number: 8572539
    Abstract: A system for automatically transforming a given synchronous circuit description into an equivalent and provably correct desynchronized circuit description. Included in the automated transformation are techniques for synthesizing a variability-aware controller using a two-phase protocol, techniques for synthesizing a variability-aware controller using gated clocks and testability circuits, techniques for synthesizing a variability-aware controller optimized for performance, techniques for initializing the synthesized controller, techniques for dynamically minimizing power requirements, and techniques for interfacing the desynchronized circuit with external synchronous circuits. Also disclosed are techniques for implementing a system for automatically transforming a synchronous circuit description into an equivalent and provably correct desynchronized circuit description within the context of an electronic design automation design flow.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: October 29, 2013
    Assignee: eSilicon Corporation
    Inventors: Jordi Cortadella, Vigyan Singhal, Emre Tuncer, Luciano Lavagno
  • Patent number: 8572523
    Abstract: A method for performing leakage analysis includes receiving information specifying an integrated circuit. A neighborhood of shapes associated with the integrated circuit is then determined. Leakage information associated with the integrated circuit is generated based on the neighborhood of shapes. The neighborhood of shapes may be determined by determining a first set of spacings to a boundary of a first cell from an internal shape. A second set of spacings may be determined from the boundary of the first cell to a shape of a second cell. A lithography process may be characterized using the first and second set of spacings.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 29, 2013
    Assignee: Synopsys, Inc.
    Inventors: Emre Tuncer, Hui Zheng, Vivek Raghavan, Anirudh Devgan, Amir Ajami, Alessandra Nardi, Tao Lin, Pramod Thazhathethil, Alfred Wong
  • Patent number: 8572536
    Abstract: Aspects of the invention provide for spare latch distribution for an integrated circuit design. In one embodiment, aspects of the invention include a method of generating a computer system for spare latch distribution in an integration circuit design, the method including: providing a computer system operable to: receive design data for the integrated circuit design, the design data including a plurality of latches; segment the integrated circuit design into a plurality of equal sections; determine a latch density within each of the equal sections; and determine a number of spare latches, based on the latch density, for each of the equal sections. Further, it is understood that the above are performed for each clock domain within the integrated circuit design.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: October 29, 2013
    Assignee: International Business Machines Corporation
    Inventors: George Antony, Sridhar H. Rangarajan, Thomas E. Rosser
  • Patent number: 8566769
    Abstract: A method and apparatus are provided for using a memory timing database. A plurality of characterization memories are defined, which can be mapped to a memory resource. Each characterization memory has different memory parameters. A plurality of variants of tiling each characterization memory to the memory resource are also defined. Timing characteristics of each tiling variant of each characterization memory are stored in the memory timing database for the memory resource based on sets of input ramptimes and output loads.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: October 22, 2013
    Assignee: LSI Corporation
    Inventors: Alexandre Andreev, Andrey Nikitin, Ranko Scepanovic, Igor A. Vikhliantsev
  • Patent number: 8566767
    Abstract: A system and method are provided for actuating static and dynamic analysis tools in parametrically intercoupled manner for synergistic optimization of an electronic system design. The system and method execute a timing designer process for selectively actuating the static analysis tool to conduct timing analysis based on at least one predetermined timing model and generate a plurality of estimated values for certain signal parameters to be in compliance with predetermined timing constraints. A signal exploration process is executed to receive the estimated values from the timing designer process and configure the resources of the dynamic analysis tool responsive thereto. The signal exploration process actuates the dynamic analysis tool to conduct electrical integrity analysis based on transient simulation and generate a plurality of simulated values for signal parameters. The simulated values are back annotated to the timing designer process for timing closure.
    Type: Grant
    Filed: November 23, 2011
    Date of Patent: October 22, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Kukal, Heiko Dudek, Jerry Alan Long, Chris Banton
  • Patent number: 8566765
    Abstract: Modifying a hierarchical circuit design includes accessing hierarchical circuit data in the hierarchical circuit design; performing timing analysis and modifications on a selected portion of the hierarchical circuit data to achieve inter-block timing closure; and performing timing analysis and modifications on the hierarchical circuit data, while accounting for a modification made on the selected portion of the hierarchical circuit data, to achieve intra-block timing closure.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: October 22, 2013
    Assignee: Atoptech, Inc.
    Inventor: Ping-San Tzeng
  • Patent number: 8566776
    Abstract: In a particular embodiment, a method is disclosed that includes automatically adding a first power line in a channel between at least two macros when less than two system power supply lines with opposite polarities are detected within the channel.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: October 22, 2013
    Assignee: QUALCOMM Incorporated
    Inventor: Li Qiu
  • Patent number: 8566774
    Abstract: A method is provided for optimized buffer placement based on timing and capacitance assertions in a functional chip unit including a single source and multiple macros, each having a sink. Placement of the source and macros with the sinks is pre-designed and buffers are placed in branches connecting the source with the multiple sinks. The method includes: calculating an estimated slack for each branch based on cycle reach, calculating a minimum slack for each branch, arranging branches according to the calculated slack to evaluate at least one most critical branch, inserting decoupling buffers in all branches except the most critical branch(es) and placing decoupling buffers close to the source, globally routing the most critical branch(es) and fixing slew conditions within this branch, globally routing at least one subsequent branch as arranged according to the calculated slack and fixing slew conditions within this branch(es), and routing all remaining branches.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: October 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Lukas Daellenbach, Elmar Gaugler, Ralf Richter
  • Patent number: 8561004
    Abstract: A power gate includes a series of electrical contacts along at least a portion of an integrated circuit and a series of power gate transistors electrically coupled to the electrical contacts on the integrated circuit to form a power gate boundary, e.g., at the integrated circuit periphery. The electrical contacts along at least a portion of a running length of the power gate boundary define a substantially non-linear profile. The non-linear profile provides increased contact density which improves current balancing across the electrical contacts and current throughput through the power gate. The non-linear profile is a sinusoidal or zigzag pattern with intermediate offset bump contacts. The contact profiles along the power gate boundary can include both linear and non-linear profiles.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: October 15, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Stephen V. Kosonocky
  • Patent number: 8561001
    Abstract: Systems and methods are disclosed for testing dies in a stack of dies and inserting a repair circuit which, when enabled, compensates for a delay defect in the die stack. Intra-die and inter-die slack values are determined to establish which die or dies in the die stack would benefit from the insertion of a repair circuit.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: October 15, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Sandeep Kumar Goel
  • Patent number: 8561006
    Abstract: A CAD device according to the embodiments includes means that determines signal transmission time of each signal transmission circuit in an LSI circuit, means that determines an output inversion rate of a flip-flop circuit included in each signal transmission circuit when the flip-flop circuit is exposed to radiation, means that determines a signal transmission circuit that is a critical path, means that calculates a total soft error rate of the LSI circuit on the basis of the signal transmission time, the output inversion rate, and a clock period, and means that, when a predetermined soft error rate is less than the total soft error rate of the LSI circuit as a result of comparison, reducing the total soft error rate of the LSI circuit to the extent possible without changing signal transmission time of the signal transmission circuit, which is a critical path.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: October 15, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Taiki Uemura, Yoshiharu Tosaka
  • Patent number: 8560994
    Abstract: In one embodiment, the invention is a method and apparatus for variation enabling statistical testing using deterministic multi-corner timing analysis. One embodiment of a method for obtaining statistical timing data for an integrated circuit chip includes obtaining deterministic multi-corner timing data for the integrated circuit chip and constructing the statistical timing data from the deterministic multi-corner timing data.
    Type: Grant
    Filed: April 24, 2012
    Date of Patent: October 15, 2013
    Assignee: International Business Machines Corporation
    Inventors: Bhavna Agrawal, David S. Kung, Jinjun Xiong, Vladimir Zolotov
  • Patent number: 8560999
    Abstract: A method for designing an electronic circuit including determining at least one hold violation in a net routing; inserting a routing blockage associated with each at least one hold violation; de-routing the determined net-routing and re-routing the determined net-routing dependent on at least the routing blockage.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: October 15, 2013
    Assignee: STMicroelectronics International N. V.
    Inventor: Sachin Mathur
  • Patent number: 8560988
    Abstract: Timing Constraints are crucial to meet timing requirements of an Integrated Circuit (IC). Timing exceptions are specified so that certain paths of the design of the IC are not timed as they are not relevant for the speed of the IC. If a path is specified as an exception but it is indeed a timing-relevant path then the design may functionally fail due to timing violations ignored by the timing analysis tools. It is therefore extremely important to ensure that all timing exceptions are correctly specified. The Hybrid Timing Exceptions Verification uses static verification as well as dynamic verification to effectively verify correctness of such timing exceptions. The solution pin-points the errors in the exceptions specification with very low number of false errors that would require significant designer inputs and time to manually waive them.
    Type: Grant
    Filed: August 15, 2011
    Date of Patent: October 15, 2013
    Assignee: Atrenta, Inc.
    Inventor: Mohamed Shaker Sarwary
  • Patent number: 8555222
    Abstract: The invention provides a method for performing statistical static timing analysis using a novel on-chip variation model, referred to as Sensitivity-based Complex Statistical On-Chip Variation (SCS-OCV). SCS-OCV introduces complex variation concept to resolve the blocking technical issue of combining local random variations, enabling accurate calculation of statistical variations with correlations, such as common-path pessimism removal (CPPR). SCS-OCV proposes practical statistical min/max operations for random variations that can guarantee pessimism at nominal and targeted N-sigma corner, and extends the method to handle complex variations, enabling graph-based full arrival/required time propagation under variable compaction. SCS-OCV provides a statistical corner evaluation method for complex random variables that can transform vector-based parametric timing information to the single-value corner-based timing report, and based on the method derives equations to bridge POCV/SSTA with LOCV.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: October 8, 2013
    Assignee: Synopsys, Inc.
    Inventors: Jiayong Le, Mustafa Celik, Guy Maor, Ayhan Mutlu
  • Patent number: 8555233
    Abstract: A design optimization method for a target circuit design specified by a machine-readable file, comprises providing a computer-implemented model as a function of a set of characteristics of circuit designs of circuit optimization achievable due to a circuit modification procedure, such as timing constrained gate length modification for leakage power reduction. Using values of said set of characteristics for the target circuit design, the computer-implemented model is applied to the target circuit design to produce an indication of susceptibility of the target circuit design to optimization. The model can be produced using Monte Carlo simulations of a set of virtual designs, and fitting a function of said characteristics to the results.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: October 8, 2013
    Assignee: Synopsys, Inc.
    Inventors: Qiang Chen, Sridhar Tirumala, Akash Jain
  • Patent number: 8549450
    Abstract: Methods and software for determining one or more boundary conditions for nets in a signal path are disclosed. The method generally includes determining an expected characteristic for at least one net in the signal path and determining a boundary characteristic for that net. Determining a boundary characteristic for the net may include multiplying the expected characteristic by a scaling factor to produce a scaled characteristic for the net, performing timing analysis of the signal path in accordance with the scaled characteristic (e.g., by calculating timing while assuming that the net has the scaled characteristic), determining if the signal path violates a timing constraint when the net has the scaled characteristic, and repeating the determination with a new scaled characteristic if timing is violated. Advantageously, maximum and/or minimum values may be determined for characteristics of signal path nets that still satisfy timing constraints.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: October 1, 2013
    Assignee: Golden Gate Technology, Inc.
    Inventor: Michael Burstein
  • Patent number: 8549448
    Abstract: Aspect of the technology are a method of designing a circuit layout and corresponding computer systems and nontransitory computer media. The circuit layout is for use in forming a lithographic mask set for use in fabricating an integrated circuit. In the method the computer system divides a synthesized circuit design into cell partitions along critical paths of the synthesized circuit design. The computer system associates pins of the plurality of cell partitions with normalized pin timing values. The normalized pin timing values store magnitude differences between a reference timing slack and timing slacks of the plurality of pins.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: October 1, 2013
    Assignee: Synopsys, Inc.
    Inventors: Patrick Vuillod, Jean-Christophe Madre
  • Patent number: 8543963
    Abstract: Some embodiments provide techniques and systems for optimizing a circuit design's global leakage power. During operation, the system can determine leakage potentials for logic gates in the circuit design, such that a logic gate's leakage potential indicates an amount or degree by which the logic gate's leakage power is decreasable. The system can then determine a processing order for processing the logic gates based at least on the leakage potentials. Next, the system can optimize the circuit design's leakage power by attempting to decrease leakage power of logic gates according to the processing order.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: September 24, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Sudipto Kundu
  • Patent number: 8543954
    Abstract: Systems, apparatus, and methods of static timing analysis for an integrated circuit design in the presence of noise are disclosed. The integrated circuit design undergoing analysis may be partitioned into a plurality of subcircuit stages. Each subcircuit stage in the integrated circuit design may be modeled to include a model of at least one victim driver, at least one aggressor driver, at least one receiver, and an interconnect network. Associated with each subcircuit stage is a set of related edges of a design graph to compute signal propagation delay. For each subcircuit stage, full timing delays of each edge can be concurrently computed. This includes concurrently computing base timing delays for a nominal response to the at least one victim driver and the interconnect network and noise related timing delays in response to the at least one aggressor driver and the interconnect network.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: September 24, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Igor Keller, Vinod Kariat, King Ho Tam
  • Patent number: 8543962
    Abstract: A method for identifying and removing redundant signal paths includes determining whether a given input to a logic circuit is coupled to both an input of a cone of logic of a data input of a clocked state element and a clock gate circuit that is coupled to disable a clock input to the clocked state element. The method may include removing the given input from the cone of logic such that the given input is no longer coupled to the input of the cone of logic responsive to determining that the given input is coupled to both the input of the cone of logic and the clock gate circuit. The method may include preserving the given input to the clock gate circuit such that the given input continues to be coupled to the clock gate circuit after being removed from the input of the cone of logic.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: September 24, 2013
    Assignee: Apple Inc.
    Inventor: Ben D. Jarrett
  • Patent number: 8543964
    Abstract: Functionality can be implemented for optimizing connection constraints in an integrated circuit design. A target timing path associated with a first of a plurality of sub-connections of the integrated circuit is determined. A timing probability value and a route probability value associated with the first of the plurality of sub-connections is determined based, at least in part, on the target timing path associated with the first of the plurality of sub-connections. The timing probability value indicates a probability that timing closure is satisfied on the target timing path. The route probability value indicates a probability that a physical routing track on the target timing path associated with the first of the plurality of sub-connections resolves congestion. A current connection constraint associated with the first of the plurality of sub-connections is modified in accordance with a connection constraint model to which the first of the plurality of sub-connections corresponds.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: September 24, 2013
    Assignee: International Business Machines Corporation
    Inventors: Liang Ge, Xia Li, Jia Lian Tang, Xiao Feng Tang, Chen Xu
  • Patent number: 8539425
    Abstract: Implementing circuit tuning post design of an integrated circuit utilizing gate phases. Each phase includes a designation of one of a slow phase and a fast phase. During the circuit design phase, each device is given a phase designation based upon expected performance of the device in the circuit. If the device is expected to be in a critical path or has a minimum timing slack, the device is placed on the fast phase. If the device is not in a critical path or has excess timing slack the device is placed on the slow phase.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Karl L. Erickson, Phil C. Paone, David P. Paulsen, John E. Sheets, II, Gregory J. Uhlmann, Kelly L. Williams
  • Patent number: 8539424
    Abstract: A design process optimization system and method for designing a circuit, which may be an integrated circuit (IC) employing adaptive voltage and scaling optimization (AVSO). In one embodiment, the system includes: (1) a process-voltage-temperature (PVT) libraries database configured to contain PVT libraries of PVT characterizations of devices of cells from which the circuit is to be constructed and (2) a PVT library selector coupled to the PVT libraries database and configured to receive a selection indicating a supplemental objective and respond to the selection by selecting one of the PVT libraries from the PVT libraries database, a timing signoff tool later employing at most two corners from the one of the PVT libraries to perform a timing signoff with respect to the circuit.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: September 17, 2013
    Assignee: LSI Corporation
    Inventor: Alexander Tetelbaum
  • Patent number: 8539429
    Abstract: Disclosed are embodiments of a method, system and computer program for optimizing system yield based on the results of post-manufacture integrated circuit (IC) chip performance path testing. In these embodiments, a correlation is made between IC chip performance measurements, which were acquired from IC chips specifically during post-manufacture (i.e., wafer-level or module-level) performance path testing, and system performance measurements, which were acquired from systems that incorporate those IC chips previously subjected to performance path testing. Based on this correlation and a target system performance value, a post-manufacture (i.e., wafer-level or module-level) chip dispositioning rule can be adjusted to optimize system yield (i.e., to ensure that subsequently manufactured systems which incorporate the IC chip meet the target system performance value).
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Peter A. Habitz, Vikram Iyengar