For Timing Patents (Class 716/134)
  • Patent number: 8813010
    Abstract: A timing analysis program for performing analysis condition generation processing which generates a first analysis condition in which the variation width of a first delay value of a first circuit cell is shifted on the basis of a first variation coefficient and a second analysis condition in which the variation width of a second delay value of a second circuit cell is shifted on the basis of a second variation coefficient.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: August 19, 2014
    Assignee: Renesas Electronics Corporation
    Inventor: Yasuhiro Oda
  • Patent number: 8806412
    Abstract: Place-and-route (P&R) includes maintaining a set of local arrival time information and local required time information associated with a circuit layout; determining a candidate fix on a critical path in the circuit layout; statistically determining, using one or more computer processors, a set of one or more adjusted local slacks associated with a region affected by the candidate fix; and in the event that the set of one or more adjusted local slacks indicates that the candidate fix results in a timing improvement, accepting the candidate fix.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: August 12, 2014
    Assignee: Atoptech, Inc.
    Inventors: Yu-Cheng Wang, Wei-Shen Wang
  • Patent number: 8806399
    Abstract: An electronic automation system performs register retiming on a logic design, which may be a logic design for a programmable logic integrated circuit. Register retiming is a moving or rearranging of registers across combinatorial logic in a design in order to improve a maximum operating frequency or fmax. In one implementation, the system includes machine-readable code, which may be stored on a computer-readable medium such as a disk, executing on a computer. The system balances timing in order to trade off delays between critical and noncritical paths. Register retiming may make changes to a design at a gate level.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: August 12, 2014
    Assignee: Altera Corporation
    Inventors: Babette van Antwerpen, Michael D. Hutton, Gregg William Baeckler, Jinyong Yuan
  • Patent number: 8806413
    Abstract: A method of manufacturing semiconductor circuits seeks timing closure on a preliminarily select, placed and routed set of cells using a delay for each cell as derated by a derate value obtained from a timing model table having a derate value corresponding to a circuit path depth in the netlist. The derate value for a predetermined number of circuit path depths below k are identical. The derate values are monotonically decreasing for increasing circuit depths in a range between 1.0 and 1.5. Separate timing model tables with differing identical values can be employed for standard and clock tree cells.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: August 12, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Raashid Moin Shaikh, Vishnuraj Arukat Rajan
  • Publication number: 20140218093
    Abstract: Aspects of the disclosure provide a circuit, such as an integrated circuit. The circuit includes a first circuit and a second circuit. The second circuit includes a delay circuit configured to cause the second circuit to have substantially matched delay characteristics of the first circuit in response to at least one parameter change of manufacturing, environmental and operational parameters, such as process variation, temperature variation, and supply voltage variation.
    Type: Application
    Filed: March 7, 2014
    Publication date: August 7, 2014
    Applicant: Marvell World Trade Ltd.
    Inventors: Jason T. SU, Winston Lee
  • Patent number: 8799839
    Abstract: An extraction tool for, and method of, determining a stage delay associated with an integrated circuit (IC) interconnect. In one embodiment, the extraction tool includes: (1) a driver strength estimator configured to extract dimensions of a driver associated with the interconnect and estimate a driver strength therefrom, (2) a driver delay estimator coupled to the driver strength estimator and configured to estimate a driver delay based on the driver strength, (3) an interconnect delay estimator configured to estimate an interconnect delay based on extracted C and RC parameters associated with the interconnect and (4) a stage delay estimator coupled to the driver delay estimator and the interconnect delay estimator and configured to estimate the stage delay based on the driver delay and the interconnect delay.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: August 5, 2014
    Assignee: LSI Corporation
    Inventors: Alexander Y. Tetelbaum, Richard A. Laubhan
  • Patent number: 8793639
    Abstract: A method and system of converting an ASCII timing report to a timing waveform to evaluate the behavior of an electrical signal in an ASIC is described. In the method, a timing report is read into memory, and selected timing points are extracted therefrom. A timing waveform is generated from the extracted timing points for display and review by a designer to evaluate whether a given external port or internal pin of the ASIC meets required timing specifications. To create a combined timing waveform, max and min timing waveforms are generated from selected timing points extracted from max and min timing reports. The x-y coordinates of the min timing waveform are shifted by an adjustment factor so as to align with x-y coordinates the max timing waveform, then a combined timing waveform is generated from the x-y coordinates of both the max and min timing waveforms.
    Type: Grant
    Filed: August 9, 2011
    Date of Patent: July 29, 2014
    Assignee: Asicserve, Ltd.
    Inventor: Yossi Rindner
  • Patent number: 8793633
    Abstract: Modifying a hierarchical circuit design includes: accessing hierarchical circuit data in the hierarchical circuit design; performing timing analysis on a selected portion of the hierarchical circuit data to determine whether inter-block timing closure is achieved; and in the event that inter-block timing closure is not achieved, performing a set of one or more fixes on the selected portion of the hierarchical circuit data to achieve inter-block timing closure. The selected portion of the hierarchical circuit data includes a selected portion of top-level block data and a selected portion of lower-level block data. Accessing hierarchical circuit data, performing timing analysis, and in the event that inter-block timing closure is not achieved, performing the set of one or more fixes are performed within a top-level design process.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: July 29, 2014
    Assignee: Atoptech, Inc.
    Inventor: Ping-San Tzeng
  • Patent number: 8789005
    Abstract: A method for efficiently processing a design layout is described. In some embodiments, the method receives an original design layout and a modified design layout. The method identifies a change from the original design layout to the modified design layout by comparing the original and modified design layouts. The method of some embodiments then defines a region based on the location of the identified change within the modified design layout. The method performs a design operation (e.g., placing fills) only on the identified region of the modified design layout.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: July 22, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Kimiko Umino, David C. Noice
  • Patent number: 8788255
    Abstract: A delay analysis device composed of a storage device and a data processing device analyzes a chip fabricating a semiconductor integrated circuit. Delay calculation is performed via an RC simulation with reference to a layout-implemented macro net list, macro layout data, and a cell timing library, thus producing macro delay information. An initial stage of a macro is annotated by the global clock path delay information including the edge information so as to produce a global clock delay-annotated macro net list, which is then converted into a macro delay-annotated net list. Based on the macro delay-annotated net list and timing constraint, the delay analysis device calculates delay times of signal paths and clock paths as well as clock skews with a high precision. It checks whether or not the relationship between the delay times of signal paths and clock paths meets the timing constraint, thus producing delay analysis information.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: July 22, 2014
    Assignee: NEC Corporation
    Inventor: Koji Kanno
  • Patent number: 8789004
    Abstract: A method and system optimizes or improves an electronic design by analyzing various signal paths in the electronic design and selecting certain critical paths, for example, failed-timing paths, to optimize. The optimizing method extracts the cascaded logic gates to create a megacell representing the function of the critical path, compare test parameters of the megacell with the critical path, and incorporate the megacell into the electronic design if the test parameters improve by an optimizing constraint.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: July 22, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Hui Chen, Shiue Tsong Shen, Cheok-Kei Lei
  • Patent number: 8782591
    Abstract: In one embodiment of the invention, a method of synthesizing physical gates from register transfer logic code for an integrated circuit design is disclosed. The method includes reading a register transfer level (RTL) input file describing an integrated circuit design; parsing and translating the RTL input file into a plurality of Boolean logic equations; translating the plurality of Boolean logic equations into a plurality of logic primitives; placing the plurality of logic primitives into a floorplan of the integrated circuit design, wherein the placement of the plurality of logic primitives defines wire interconnects; and optimizing each of the plurality of Boolean logic equations in response to wire costs and wire timing delays.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: July 15, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Tsuwei Ku, David Seibert, Huey-Yih Wang, Hua Song, Kai Zhu, Yu-Fang Chung, Ankush Sood
  • Patent number: 8776000
    Abstract: A method of implementing timing ECO in a circuit includes the steps of performing a static timing analysis on the circuit so as to determine at least one timing violating path of the circuit, decomposing the timing violating path into at least one violating path segment, determining a smooth curve from each timing violating path and determining a plurality of reference points along the smooth curve, computing a fixability parameter of each gate on the violating path segment, extracting at least one gate according to the fixability parameters, and selecting one spare cell and disposing the selected spare cell on the violating path segment.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: July 8, 2014
    Assignee: National Chiao Tung University
    Inventors: Hua-Yu Chang, Hui-Ru Jiang, Yao-Wen Chang
  • Patent number: 8776004
    Abstract: Determining static timing analysis margin on non-controlling inputs of clock shaping and other digital circuits using reverse merge timing includes: selecting one or more circuits within the logic design having a plurality of inputs and using reverse merge; identifying a controlling input of the selected circuit from among this plurality of inputs; and determining for at least one non-controlling input of the circuit, a timing value that may be used to drive design optimization based on the difference between arrival times of the controlling and non-controlling inputs.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Frank Borkam, Hemlata Gupta, David J. Hathaway, Kerim Kalafala, Vasant Rao, Alex Rubin
  • Patent number: 8775995
    Abstract: A method and/or an apparatus of core timing prediction is disclosed. In one embodiment, a method may include generating a core timing model of a core logic that is accurately transferable to any chip-level integration process. The method may reduce performance degradation and/or performance variation of the core logic caused by a number of interactions between core logic components and chip-level components in the chip-level integration process. In addition, the core timing model of the core logic may be generated by filling un-wired tracks with metal in any of an outermost layer of the core logic after a core logic routing and constructing a layer at least an area of and adjacent to any of the outermost layer of the core logic with grounded metal that is orthogonal to those of the metal used in the outermost layer of the core logic.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: July 8, 2014
    Assignee: LSI Corporation
    Inventors: Ruben Salvador Molina, Alexander Tetelbaum
  • Patent number: 8776006
    Abstract: Aspects of the invention provide for a method of delay defect testing in integrated circuits. In one embodiment, the method includes: generating at least one test pattern based on a transition fault model type; evaluating a dynamic voltage drop for the at least one pattern during a capture cycle and generating a voltage drop value for the at least one test pattern; performing a static timing analysis, using the voltage drop value for the at least one test pattern; evaluating a plurality of paths in the at least one pattern; and masking each path that fails to meet a timing requirement.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Raghu G. Gopalakrishnasetty, Thamaraiselvan Subramani, Balaji Upputuri
  • Patent number: 8776003
    Abstract: The disclosure provides leakage power recovery that considers side transition times of multi-input cells. In one embodiment, a leakage power recovery system is disclosed that includes: (1) a power recovery module that considers side transitions when making a first conditional replacement of a cell in a path of a circuit design with a lower leakage cell and estimates delays and slack of the at least one path of the circuit design, and (2) a speed recovery module that makes a second conditional replacement of a slower lower leakage cell of the path with a higher leakage cell when there is a timing violation with respect to the path, determines if any other cells of the at least one path has a slower input transition and makes a third conditional replacement of a driver thereof to a higher leakage cell when the driver is one of the slower lower leakage cells.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: July 8, 2014
    Assignee: LSI Corporation
    Inventors: Bruce E. Zahn, Donald J. Wingate
  • Patent number: 8775996
    Abstract: A design tool with a direct current (DC) transformation analysis unit determines combinations of candidate sink locations for sector buffers within a sector of a clock network design. For each of the combination of candidate sink locations, the design tool transforms resistances of the sector with the combination of candidate sink locations into resistances of an electrical circuit. The design tool transforms capacitances of the sector with the combination of candidate sink locations into current sources of an electrical circuit. The design tool performs a DC circuit analysis, wherein results of the DC circuit analysis include a variance of voltage at nodes of the sector and a maximum value of current from currents flowing between pairs of the nodes of the sector. The design tool determines which of the combination of candidate sink locations has the minimum variance of voltage with the results of the DC circuit analysis.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Joseph Nicolas Kozhaya, Zhuo Li, Joseph J. Palumbo, Haifeng Qian, Phillip John Restle, Chin Ngai Sze, Ying Zhou
  • Patent number: 8775988
    Abstract: A method for performing a parallel static timing analysis in which multiple processes independently update a timing graph without requiring communication through a central coordinator module. Local processing queues are used to reduce locking overhead without causing excessive load imbalance. A parallel analysis is conducted on a circuit design represented by a timing graph formed by a plurality of interconnected nodes, the method including: using a computer for creating a shared work queue of ready to process independent nodes; assigning the independent nodes from the work queue to at least two parallel computation processes, simultaneously performing node analysis computations thereof; and modifying the circuit design by updating values of the processed independent nodes obtained from the node analysis, the at least two parallel computation processes independently updating the shared work queue to process a new plurality of independent nodes.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mark A. Lavin, David J. Hathaway, Kerim Kalafala, Jeffrey S. Piaget, Chandramouli Visweswariah
  • Publication number: 20140189634
    Abstract: Systems and techniques are described for performing a priori corner and mode reduction. Some embodiments create a synthetic corner in which (1) a cell delay for each library cell in a set of library cells corresponds to a maximum delay over multiple temperature corners, and/or (2) a cell delay for each library cell in a set of library cells corresponds to a maximum delay over multiple parasitic corners. Some embodiments can identifying, for a given corner, a portion of the circuit design that is common across multiple modes, and then replace the multiple modes with a single mode for optimizing and verifying timing constraints of the portion of the circuit design that is common across the multiple modes. The circuit design can then be optimized over the reduced set of modes and/or corners.
    Type: Application
    Filed: December 23, 2013
    Publication date: July 3, 2014
    Applicant: Synopsys, Inc.
    Inventors: Jeng-Liang Tsai, Pei-Hsin Ho
  • Publication number: 20140189633
    Abstract: A latency adjusting part calculates a necessary delay based on the number of FFs that are required to be inserted between respective modules through high level synthesis of a behavioral description. An input FF stage number acquiring part extracts a pin having an input that receives an FF, and acquires the number of stages of input FFs of FF reception. A latency re-adjusting part obtains an optimum delay based on the above-mentioned necessary delay and input delay. A former-stage module analyzing part detects, based on the above-mentioned synthetic log or HDL, a state having a minimum total number of FFs. An FF insertion optimizing synthesis part subjects an entire circuit to high level synthesis again based on the above-mentioned optimum delay and an FF inserting position obtained based on the state having the minimum number of FFs, to thereby obtain optimized HDL.
    Type: Application
    Filed: December 18, 2013
    Publication date: July 3, 2014
    Applicant: Mitsubishi Electric Corporation
    Inventors: Ryo YAMAMOTO, Noriyuki MINEGISHI
  • Patent number: 8769470
    Abstract: Disclosed are a method and system for improving timing closure in chip design. The method comprises: identifying a critical timing path in a chip design pattern, wherein a timing window of the critical timing path is smaller than a predetermined timing window; determining a variation of each segment of the critical timing path, wherein the variation indicates uncertainty of delay of a device and/or a wire caused by one or more factors; and changing at least one segment of the critical timing path based on the variation of each segment of the critical timing path to enlarge the timing window of the critical timing path. The method and system may enlarge a timing window of a critical timing path by reducing the variation thereof, thereby achieving timing closure in the chip design pattern.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: July 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Hongwei (Nick) Dai, Chen Du, Xian E He, Jian Niu
  • Patent number: 8769461
    Abstract: Processing a circuit design for implementation on a target device includes, for a first driver that is a driver of a net having a plurality of loads, selecting a second driver that is a driver of the first driver. A representation of a rectilinear Steiner arborescence (RSA) tree is generated from the second driver and the plurality of loads. The RSA tree includes nodes representative of the plurality of loads and a plurality of Steiner points. A subset of the plurality of Steiner points in the RSA tree is selected for disposing respective replicated instances of the first driver. The respective replicated instances of the first driver are assigned to locations on the target device associated with the subset of Steiner points. The connections from each of the respective replicated instances of the first driver are assigned to a respective subset of the plurality of loads.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: July 1, 2014
    Assignee: Xilinx, Inc.
    Inventors: Yau-Tsun S. Li, Anup K. Sultania, E. Syama Sundara Reddy
  • Publication number: 20140181772
    Abstract: A design tool with an initial sink locator unit determines a number of clock buffers for driving clock signals to loads in a clock distribution network. The design tool determines clusters of loads in the clock distribution network, wherein the number of clusters is equal to the number of clock buffers and the loads are uniformly distributed amongst the clusters. The design tool determines centers of the clusters as initial candidate sink locations for the clock buffers. The design tool iteratively determines new clusters and determines centers of the new clusters as optimized initial candidate sink locations.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Charles Jay Alpert, Zhuo Li, Chin Ngai Sze, Ying Zhou
  • Publication number: 20140181779
    Abstract: Techniques and systems for guiding circuit optimization are described. Some embodiments compute a set of aggregate slacks for a set of chains of logic paths in a circuit design. Each chain of logic paths starts from a primary input or a sequential circuit element that only launches a signal but does not capture a signal and ends at a primary output or a sequential circuit element that only captures a signal but does not launch a signal. Next, the embodiments guide circuit optimization of the circuit design based on the set of aggregate slacks.
    Type: Application
    Filed: December 26, 2013
    Publication date: June 26, 2014
    Applicant: Synopsys, Inc.
    Inventor: Aiqun Cao
  • Patent number: 8762905
    Abstract: Methods and systems for determining a numerical delay model based on one or more discretized delay models are described. A discretized delay model is a delay model in which the delay behavior is represented using a set of discrete data points of delay behavior. A numerical delay model is a delay model that can be used by a numerical solver to optimize a cost function. In general, computing delay using a numerical delay model is significantly faster than computing delay using discretized delay models. This performance improvement is important when optimizing a design for various metrics like timing, area and leakage power, because repeated delay computations are required in circuit optimization approaches.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: June 24, 2014
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez
  • Patent number: 8762922
    Abstract: A system for reducing leakage power of an electronic circuit design, where the circuit design includes multiple timing paths, each timing path made up of multiple cells, using an electronic design automation (EDA) tool. The EDA tool includes a processor that chooses a first replacement cell for replacing a first cell in a first timing path when timing slack is not available in the first path, where a width and threshold voltage of the first replacement cell are greater than a width and threshold voltage of the first cell. The processor then replaces the first cell with the first replacement cell when the overall power consumption of the first replacement cell is less than that of the first cell, and when the timing slack is available for replacing the first cell with the first replacement cell.
    Type: Grant
    Filed: October 13, 2013
    Date of Patent: June 24, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Amit Roy, Vijay Tayal, Chetan Verma
  • Patent number: 8762915
    Abstract: A circuit analysis tool is provided for die size reduction analysis. A processor determines a first initial output slack time. If the first initial output slack time is greater than zero, a first circuit element is modeled with a second die area, less than the first die area. The second die area is associated with a third delay greater than the first delay. Then, the second data signal is modeled equal to the first data signal with the third delay. If a first modified output slack time is greater than or equal to zero, the first circuit element first die can be replaced with the second die. If the first modified output slack time is a first value less than zero, a first delay is added to the clock signal that is greater than or equal to the first value.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: June 24, 2014
    Assignee: Applied Micro Circuits Corporation
    Inventors: Balaji Prabhakar, Sunil Kumar Singla
  • Patent number: 8762904
    Abstract: Roughly described, a method for synthesizing a circuit design from a logic design includes developing candidate solutions for a particular signal path, a first candidate solution identifying a first library cells followed immediately downstream thereof by a first set of zero or more buffers, and a second candidate solution identifying a second library cell followed immediately downstream thereof by a second set of zero or more buffers, the first library cell and first set of buffers in combination being different from the second library cell and second set of buffers in combination. The computer system selects among the candidate solutions at least in part in dependence upon sensitivity of the solution to load capacitance in the particular path, and stores the selected solution in the storage for subsequent use in further developing and fabricating an integrated circuit device.
    Type: Grant
    Filed: March 28, 2012
    Date of Patent: June 24, 2014
    Assignee: Synopsys, Inc.
    Inventors: Chaeryung Park, Henry Sheng
  • Patent number: 8762908
    Abstract: In one embodiment of the invention, a method of analysis of a circuit design with respect to within-die process variation is disclosed to generate a design-specific on chip variation (DS-OCV) de-rating factor. The method includes executing a static timing analysis (STA) in an on-chip variation mode using a process corner library. Collecting timing information of the top N critical timing paths. Executing a statistical static timing analysis (SSTA) on the N critical timing paths using timing models characterized for SSTA with sensitivities of delays to process variables. Compare the two timing results and deriving DS-OCV de-rating factors for the clock/data paths to be used in a STA OCV timing analysis to correctly account for the effects of process variations. A user may select to specify DS-OCV de-rating factors for paths or groups of paths and achieve an accurate timing analysis report in a reduced amount of run-time.
    Type: Grant
    Filed: June 27, 2010
    Date of Patent: June 24, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Hongliang Chang, Vassilios Gerousis, Sireesha Molakalapalli, Sachin Shrivastava
  • Patent number: 8762926
    Abstract: An area of a memory has a diagnosis area and a non diagnosis area, with the diagnosis area divided into a plurality of Row areas which do not overlap each other, and each of the Row areas is divided into a plurality of Cell areas which do not overlap each other. A memory fault diagnostic method has a diagnostic step in a Row area to diagnose between Cell areas with respect to all the combinations of a set of Cell areas in the Row area, and a diagnostic step between Row areas to diagnose between Row areas with respect to all the combinations of a set of Row areas in the diagnosis area. A Row area size is determined to be a size in which a time of the diagnosis in a Row area becomes equal to a time of the diagnosis between Row areas.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: June 24, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Nakatani, Naoya Ohnishi, Satoru Amaki, Yoshito Sameda, Makoto Toko
  • Patent number: 8756549
    Abstract: Disclosed are embodiments of an integrated circuit chip designed for reliability at low ambient temperatures. The chip substrate can be divided into zones, including at least one temperature-sensitive zone (TSZ) that contains one or more temperature-sensitive circuits. Temperature sensor(s) can be positioned in the semiconductor substrate adjacent to the TSZ. Thermal radiator(s) can be embedded in a metal wiring layer and aligned above the TSZ. The temperature sensor(s) can be operatively connected to the thermal radiator(s) and can trigger operation of the thermal radiator(s) when the temperature in the TSZ is below a predetermined threshold temperature. Additionally, an on-chip power control system can be operatively connected to the thermal radiator(s) so that operation of the thermal radiator(s) is only triggered when a circuit within the TSZ is about to be powered up. Also disclosed are associated embodiments of a system and method for designing such an integrated circuit chip.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: June 17, 2014
    Assignee: International Business Machines Corporation
    Inventors: Richard S. Graf, Keishi Okamoto, Faraydon Pakbaz, Jack R. Smith, Sebastian T. Ventrone
  • Patent number: 8756555
    Abstract: A standard cell library is disclosed. The standard cell library contains cells wherein at least one transistor in at least one cell is annotated for gate length biasing. Gate length biasing includes the modification of the gate length, so as to change the speed or power consumption of the modified gate length. The standard cell library is one used in the manufacturing of semiconductor devices (e.g., that result as semiconductor chips), by way of fabricating features defined on one or more layouts of geometric shapes. The annotations serve to identify which ones of the transistor gate features are to be modified before using the geometric shapes for manufacturing the semiconductor device.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: June 17, 2014
    Assignee: Tela Innovations, Inc.
    Inventors: Puneet Gupta, Andrew B. Kahng
  • Patent number: 8756545
    Abstract: An apparatus calculates a delay time of nets within a circuit included in design data by a processing unit. The processing unit performs a process that includes selecting a first calculation to calculate the delay time of a net when the net satisfies a first condition, when the first calculation is not selected by the selecting, selecting the first or second calculation to calculate the delay time of the net, depending on whether the net satisfies a second condition, and calculating the delay time of the net by the first or second calculation selected by the selecting.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: June 17, 2014
    Assignee: Fujitsu Limited
    Inventor: Hiroyuki Sugiyama
  • Publication number: 20140165022
    Abstract: Technology for generating a relative timing architecture using a relative timed module is disclosed. In an example, an electronic design automation (EDA) tool enabled for clocked tool flows can include computer circuitry configured to: Generate a hardware description language (HDL) integrated circuit (IC) architecture using the relative timed module; map a relative timing constraint on to a relative timed instance of the relative timed module; and generate a timing target for each relative timing constraint.
    Type: Application
    Filed: July 18, 2013
    Publication date: June 12, 2014
    Inventor: KENNETH S. STEVENS
  • Patent number: 8751997
    Abstract: Up-binning a circuit design includes receiving a first bitstream specifying the circuit design. The circuit design meets a timing requirement for a first speed grade of a programmable integrated circuit. Using a processor, a first parameter of the first bitstream is determined. The first parameter is applied to a hardware netlist of the programmable integrated circuit resulting in a parameterized hardware netlist specifying the circuit design. A timing analysis is performed upon the parameterized hardware netlist. The process further includes determining, from the timing analysis, whether at least a portion of the parameterized hardware netlist meets the timing requirement when using timing data for a second speed grade of the programmable integrated circuit. The second speed grade is slower than the first speed grade.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: June 10, 2014
    Assignee: Xilinx, Inc.
    Inventor: Amit Gupta
  • Patent number: 8751994
    Abstract: Systems and methods are disclosed for testing dies in a stack of dies and inserting a repair circuit which, when enabled, compensates for a delay defect in the die stack. Intra-die and inter-die slack values are determined to establish which die or dies in the die stack would benefit from the insertion of a repair circuit.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: June 10, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Sandeep Kumar Goel
  • Patent number: 8745558
    Abstract: A method is provided for designing an integrated circuit. The method includes generating a net list of an integrated circuit design, wherein the net list includes one or more component cells selected from a cell library. The component cells include transmission gate logic cells and sourcing cells that drive the transmission gate logic cells. Each transmission gate logic cell has an associated timing model with a timing characteristic defined as a function of a driving strength attribute of a sourcing cell used to characterize the transmission gate logic cell. The method further includes auditing the net list to determine if a given sourcing cell in the integrated circuit design has a sufficient driving strength based at least on the driving strength attribute of a transmission gate logic cell being driven by the given sourcing cell.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: June 3, 2014
    Assignee: LSI Corporation
    Inventors: Joseph Garofalo, Barry L. Bartholomew, Mujiang Luo, You Xin Rao
  • Patent number: 8745561
    Abstract: A system and method are provided for common path pessimism removal or reduction (CPPR) in a timing database provided to guide transformative physical optimization/correction of a circuit design for an IC product to remedy operational timing violations detected in the circuit design. Pessimism is reduced through generation of a common path pessimism removal (CPPR) tree structure of branching nodes, and operational timing characteristics of each node. The CPPR tree structure is used to avoid exponential phases propagating in an exploratory manner through the system design, as well as the resultant memory footprint thereof. Additionally, back-tracing node-by-node through the circuit design for each and every launch and capture flip flop pair end point through each possible path thereof is avoided.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: June 3, 2014
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vibhor Garg, Krishna Belkhale, Pawan Kulshreshtha, Hakan Yalcin
  • Patent number: 8745565
    Abstract: One embodiment of the present invention provides a system that attempts to satisfy routing rules during routing of an integrated circuit (IC) chip design. During operation, the system receives a routing solution for the IC chip design and a set of routing rules to be satisfied by the routing solution. The system then assigns weights to the set of routing rules, wherein a higher weight for a routing rule indicates a higher importance of the routing rule. The system additionally assigns effort levels to the set of routing rules, wherein a higher effort level for a routing rule indicates that a higher amount of resources are available to satisfy the routing rule. The system then modifies the routing solution to satisfy the routing rules based at least on the weights and the effort levels associated with the routing rules.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: June 3, 2014
    Assignee: Synopsys, Inc.
    Inventor: Tong Gao
  • Patent number: 8739100
    Abstract: A technique for implementing an clock tree distribution network having a clock buffer and a plurality of LC tanks that each take into consideration local capacitance distributions and conductor resistances. An AC-based sizing formulation is applied to the buffer and to the LC tanks so as to reduce the total buffer area. The technique is iterative and can be fully automated while also reducing clock distribution power consumption.
    Type: Grant
    Filed: June 23, 2012
    Date of Patent: May 27, 2014
    Assignee: The Regents of the University of California
    Inventor: Matthew Guthaus
  • Publication number: 20140143748
    Abstract: Approaches are provided for improving timing of new and existing semiconductor products. Specifically, a method is provided implemented in a computer infrastructure having computer executable code tangibly embodied on a computer readable storage medium having programming instructions operable to set starting across chip variation assumptions using design rules. The programming instructions are further operable to design a test chip and/or product chip using the starting across chip variation assumptions to close timing of the design. The programming instructions are further operable to place devices in the test chip and/or product chip. The programming instructions are further operable to compare performance of the devices within the test chip and/or the product chip to the starting across chip variation assumptions. The programming instructions are further operable to adjust the starting across chip variation assumptions based on the measured performance of the test chip and/or the product chip.
    Type: Application
    Filed: November 21, 2012
    Publication date: May 22, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jeanne P. BICKFORD, Howard B. DRUCKERMAN, Erik L. HEDBERG, Joseph J. OLER, JR.
  • Patent number: 8732649
    Abstract: A method and a system for determining the observability of faults in an electronic circuit include a processor that simulates, in a simulation phase, a behavior of the electronic circuit using a simulation model, and that determined, in an analysis phase, based on the simulation, and for each of a plurality of elements of the electronic circuit, time periods in which an occurrent fault could cause a deviation in analysis output signals, where the occurrent fault is determined not to cause any deviation in output signals in other time periods.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: May 20, 2014
    Assignee: Robert Bosch GmbH
    Inventor: Robert Hartl
  • Patent number: 8732639
    Abstract: A method for designing a system on a target device using an electronic design automation (EDA) tool including identifying synchronizer chains in a system design using timing relationships. According to one embodiment of the present invention, the method includes conveniently reporting system reliability considering synchronization, and automatically protecting and optimizing synchronizer chains to improve system robustness.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: May 20, 2014
    Assignee: Altera Corporation
    Inventors: Ryan Fung, Vaughn Betz, David Neto
  • Publication number: 20140137070
    Abstract: A clock stretcher mechanism is provided for shifting a rising edge of a negative active global clock signal beyond a rising edge of a feedback path signal. A negative active global clock signal and a clock chopper signal are received in a base block. First base block circuitry modifies the clock chopper signal in order to form the feedback path signal. Second base block circuitry shifts the rising edge of the negative active global clock signal beyond the rising edge of the feedback path signal using a delay negative active global clock signal.
    Type: Application
    Filed: January 21, 2014
    Publication date: May 15, 2014
    Applicant: International Business Machines Corporation
    Inventors: Osama Dengler, Thomas Froehnel, Juergen Pille, Rolf Sautter
  • Patent number: 8726219
    Abstract: Methods and apparatuses to design and analyze digital circuits with time division multiplexing. In one embodiment, the method for designing a digital circuit comprises determining signal timing for a portion of the digital circuit, and automatically replacing nets for a plurality of connections in the digital circuit with a Time Division Multiplexing (TDM) channel in response to a determining of routing congestion.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: May 13, 2014
    Assignee: Synopsys, Inc.
    Inventors: Drazen Borkovic, Kenneth S. McElvain
  • Patent number: 8726210
    Abstract: Systems and methods are provided to optimize critical paths by modulating systemic process variations, such as regional timing variations in IC designs. A method includes determining a physical location of an element in the semiconductor chip design within the critical path. The method further includes modulating a systemic process variation of the semiconductor chip design to speed up the critical path based on a polysilicon conductor perimeter density associated with the element.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: May 13, 2014
    Assignee: International Business Machines Corporation
    Inventors: Albert M. Chu, Manikandan Viswanath
  • Patent number: 8719763
    Abstract: Approaches for binning integrated circuits using timing are provided. A method includes performing a statistical timing analysis of a design. The method also includes identifying bin sub-spaces within a process space of the design. The method further includes determining a frequency limit for each said bin sub-space. The method additionally includes closing timing to the frequency limit for each said bin sub-space.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: May 6, 2014
    Assignee: International Business Machines Corporation
    Inventors: Jeanne P. Bickford, Eric A. Foreman, Vladimir Zolotov
  • Patent number: 8719747
    Abstract: Technology is disclosed herein that provides for modifying a circuit design to reduce the potential occurrence of single event upset errors during operation of a device manufactured from the synthesized design. After a circuit design has been synthesized to a particular abstraction level, a static timing analysis procedure is run on the design. The slack values for paths within the design are determined based upon the static timing analysis procedure. Subsequently, delays are added to selected paths within the design based upon the slack values.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: May 6, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Daniel Platzker, Jeffrey Alan Kaady, Ashish Kapoor
  • Publication number: 20140123089
    Abstract: Systems and methods for modeling multi-patterning variability with statistical timing analysis during IC fabrication are described. The method may be provided implemented in a computer infrastructure having computer executable code tangibly embodied on a computer readable storage medium having programming instructions operable to define at least one source of variation in an integrated circuit design. The programming instructions further operable to model the at least one source of variation for at least two patterns in at least one level of the integrated circuit design as at least two sources of variability respectively.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Nathan BUCK, Brian DREIBELBIS, John P. DUBUQUE, Eric A. FOREMAN, Peter A. HABITZ, David J. HATHAWAY, Jeffrey G. HEMMETT, Natesan VENKATESWARAN, Chandramouli VISWESWARIAH, Vladimir ZOLOTOV