Analysis And Verification (process Flow, Inspection) Patents (Class 716/51)
-
Publication number: 20140162466Abstract: A method and system for fracturing or mask data preparation is disclosed in which a plurality of charged particle beam shots is determined which will produce a pattern on a reticle, where the reticle is to be used to form an aerial image on a resist-coated substrate using an optical lithographic process. A simulated reticle pattern is then calculated from the plurality of charged particle beam shots. A calculated aerial substrate image is then calculated using the simulated reticle pattern, and a shot in the plurality of shots is modified to improve the calculated aerial substrate image. Similar methods for forming a pattern on a reticle and for manufacturing an integrated circuit are also disclosed.Type: ApplicationFiled: February 11, 2014Publication date: June 12, 2014Applicant: D2S, INC.Inventor: Akira Fujimura
-
Patent number: 8751976Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) method. The method includes building a pattern bank including a pattern having an area of interest. The method further includes recognizing that the pattern of the pattern bank corresponds to a pattern of an IC design layout. The method further includes identifying an area of interest of the pattern of the IC design layout that corresponds to the area of interest of the pattern of the pattern bank. The method further includes performing pattern recognition dissection on the area of interest of the pattern of the IC design layout to dissect the area of interest of the pattern of the IC design layout into a plurality of segments. The method further includes after performing pattern recognition dissection, producing a modified IC design layout.Type: GrantFiled: June 27, 2012Date of Patent: June 10, 2014Inventors: Cheng-Lung Tsai, Jui-Hsuan Feng, Sheng-Wen Lin, Wen-Li Cheng, Wen-Chun Huang, Ru-Gun Liu
-
Patent number: 8751975Abstract: A method includes determining model parameters for forming an integrated circuit, and generating a techfile using the model parameters. The techfile includes at least two of a C_worst table, a C_best table, and a C_nominal table. The C_worst table stores greatest parasitic capacitances between layout patterns of the integrated circuit when lithography masks comprising the layout patterns shift relative to each other. The C_best table stores smallest parasitic capacitances between the layout patterns when the lithography masks shift relative to each other. The C_nominal table stores nominal parasitic capacitances between the layout patterns when the lithography masks do not shift relative to each other. The techfile is embodied on a tangible non-transitory storage medium.Type: GrantFiled: May 23, 2012Date of Patent: June 10, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Ke-Ying Su, Hsiao-Shu Chao, Yi-Kan Cheng
-
Patent number: 8751984Abstract: A plurality of diagnosis methods are provided for enabling hardware debugging. A first diagnosis method enables hardware debugging by means of time abstraction. A second diagnosis method enables hardware debugging by means of abstraction and refinement. A third diagnosis method enables hardware debugging by means of QBF-formulation for replicated functions. A fourth diagnosis method enables hardware debugging by means of a max-sat debugging formulation. A system and computer program for implementing the diagnosis methods is also provide.Type: GrantFiled: November 9, 2007Date of Patent: June 10, 2014Inventors: Sean Safarpour, Andreas Veneris
-
Publication number: 20140157212Abstract: A method of designing an IC design layout having similar patterns filled with a plurality of indistinguishable dummy features, in a way to distinguish all the patterns, and an IC design layout so designed. To distinguish each pattern in the layout, deviations in size and/or position from some predetermined equilibrium values are encoded into a set of selected dummy features in each pattern at the time of creating dummy features during the design stage. By identifying such encoded dummy features and measuring the deviations from image information provided by, for example, a SEM picture of a wafer or photomask, the corresponding pattern can be located in the IC layout. For quicker and easier identification of the encoded dummy features from a given pattern, a set of predetermined anchor dummy features may be used.Type: ApplicationFiled: December 3, 2012Publication date: June 5, 2014Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Shih-Ming Chang, Tzu-Chin Lin, Jen-Chieh Lo, Yu-Po Tang, Tsong-Hua Ou
-
Patent number: 8745550Abstract: The present disclosure describes an OPC method of preparing data for forming a mask. The method includes setting a plurality of dissection points at the main feature and further includes setting a target point at the main feature. The method includes arranging the two dissection points crossing the main feature symmetrically each other. The method includes separating two adjacent dissection points at one side of the main feature by a maximum resolution of the mask writer. The method includes dividing the main feature into a plurality of segments using the dissection points. The method includes performing an OPC convergence simulation to a target point. The method includes correcting the segments belonging to an ambit of the target point and further includes correcting the segment shared by two ambits.Type: GrantFiled: July 9, 2012Date of Patent: June 3, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Nian-Fuh Cheng, Yu-Po Tang, Chien-Fu Lee, Sheng-Wen Lin, Yong-Cheng Lin, Wen-Chun Huang, Ru-Gun Liu
-
Patent number: 8745559Abstract: A method includes creating a technology file including data for an integrated circuit including at least one die including at least one metal layer to be formed using at least one of a single patterning process or a multi-patterning process, creating a netlist including data approximating at least one of capacitive or inductive couplings between conductors in the metal layer of at least one die based on the technology file, simulating a performance of the integrated circuit based on the netlist, adjusting the routing between the at least one die and the interposer based on the simulation to reduce the at least one of the capacitive or the inductive couplings, and repeating the simulating and adjusting to optimize the at least one of the capacitive or inductive couplings.Type: GrantFiled: April 29, 2013Date of Patent: June 3, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chih-Cheng Chou, Ke-Ying Su
-
Patent number: 8745549Abstract: A method for fracturing or mask data preparation or proximity effect correction or optical proximity correction or mask process correction is disclosed in which a set of charged particle beam shots is determined that is capable of forming a pattern on a surface, wherein critical dimension (CD) split is reduced through the use of overlapping shots.Type: GrantFiled: February 5, 2012Date of Patent: June 3, 2014Assignee: D2S, Inc.Inventors: Akira Fujimura, Robert C. Pack
-
Patent number: 8745546Abstract: A mask overlay method, and a mask and a semiconductor device using the same are disclosed. According to the disclosed mask overlay technique, test marks and front layer overlay marks corresponding to a plurality of overlay mark designs are generated in a first layer of a semiconductor device. The test patterns generating the test marks each include a first sub pattern and a second sub pattern. Note that the first sub pattern has the same design as a front layer overlay pattern (which generates the front layer overlay mark corresponding thereto). Based on the test marks, performances of the plurality of overlay mark designs are graded. The front layer overlay mark corresponding to the overlay mark design having the best performance is regarded as an overlay reference for a mask of a second layer of the semiconductor device.Type: GrantFiled: December 29, 2011Date of Patent: June 3, 2014Assignee: Nanya Technology CorporationInventor: Chui-Fu Chiu
-
Patent number: 8745556Abstract: A method identifies, as an independent node, any node representing a circuit pattern in any odd loop of a layout of a region of a layer of an IC that is not included in any other odd loop of the layout. The layer is to have a plurality of circuit patterns to be patterned using at least three photomasks. The method identifies, as a safe independent node, any independent node not closer than a threshold distance from any other independent nodes in another odd loop of the layout. The layout is modified, if the circuit patterns in the layout include any odd loop without any safe independent node, so that that after the modifying, each odd loop has at least one safe independent node.Type: GrantFiled: June 28, 2012Date of Patent: June 3, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Huang-Yu Chen, Tsong-Hua Ou, Ken-Hsien Hsieh, Chin-Hsiung Hsu
-
Patent number: 8745545Abstract: Systems and methods are disclosed for a stochastic model of mask process variability of a photolithography process, such as for semiconductor manufacturing. In one embodiment, a stochastic error model may be based on a probability distribution of mask process error. The stochastic error model may generate a plurality of mask layouts having stochastic errors, such as random and non-uniform variations of contacts. In other embodiments, the stochastic model may be applied to critical dimension uniformity (CDU) optimization or design rule (DR) sophistication.Type: GrantFiled: September 25, 2013Date of Patent: June 3, 2014Assignee: Micron Technology, Inc.Inventors: Ming-Chuan Yang, Jung H. Woo
-
Patent number: 8745551Abstract: Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing illumination source and projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).Type: GrantFiled: November 9, 2011Date of Patent: June 3, 2014Assignee: ASML Netherlands B.V.Inventors: Hanying Feng, Yu Cao, Jun Ye
-
Patent number: 8739080Abstract: The present disclosure describes methods of forming a mask. In an example, the method includes receiving an integrated circuit (IC) design layout, modifying the IC design layout data using an optical proximity correction (OPC) process, thereby providing an OPCed IC design layout, and modifying the OPCed IC design layout data using a mask rule check (MRC) process, wherein the MRC process corrects rule violations of the OPCed IC design layout data using a mask error enhancement factor (MEEF) index, thereby providing a MRC/OPCed IC design layout.Type: GrantFiled: October 4, 2012Date of Patent: May 27, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Cheng-Lung Tsai, Jui-Hsuan Feng, Sheng-Wen Lin, Wen-Chun Huang, Ru-Gun Liu
-
Patent number: 8739078Abstract: Near-neighbor trimming of dummy fill shapes with built-in optical proximity corrections (OPCs) for semiconductor applications is provided. A method for the near-neighbor trimming includes adding one or more hole shapes onto a semiconductor design layout comprising a plurality of design shapes. The method further includes trimming adjacent ones of the plurality of which are covered by the one or more hole shapes.Type: GrantFiled: January 18, 2012Date of Patent: May 27, 2014Assignee: International Business Machines CorporationInventor: Howard S. Landis
-
Patent number: 8739077Abstract: Methods for modifying a physical design of an electrical circuit used in the manufacture of a semiconductor device, and methods for fabricating an integrated circuit, are provided. In an embodiment, a method includes providing a circuit design layout that has a plurality of element patterns. A first library of problematic sections is provided. An initial circuit section and an additional circuit section within the circuit design layout are determined to match problematic sections in the first library, and the initial and additional circuit sections have overlapping peripheral boundaries. A second library of replacement sections is provided. The replacement sections correspond to the problematic sections. The circuit sections that match the problematic sections are replaced with a replacement section that corresponds to the respective problematic sections to form the final circuit layout. Boundary characteristics of the replacement sections are substantially the same as the circuit sections replaced thereby.Type: GrantFiled: March 1, 2013Date of Patent: May 27, 2014Assignee: Globalfoundries, Inc.Inventors: Piyush Pathak, Piyush Verma, Sarah N. McGowan
-
Patent number: 8739079Abstract: The present invention provides a computer-readable recording medium recording a program for causing a computer to execute a method of determining a pattern of a mask and an effective light source distribution with which the mask is illuminated, both of which are used for an exposure apparatus including an illumination optical system which illuminates a mask with light from a light source and a projection optical system which projects a pattern of the mask onto a substrate.Type: GrantFiled: October 30, 2009Date of Patent: May 27, 2014Assignee: Canon Kabushiki KaishaInventors: Kouichirou Tsujita, Axelrad Valery
-
Patent number: 8739075Abstract: A method of making pattern data of a photomask pattern includes: the processes of adding, to each of first cells, information of the first cell higher than the first cell on the basis of a hierarchical structure; selecting, from the first cells included in one level of the hierarchical structure, the first cell identical to one of the first cells included in a level higher than the one level and the first cell placed inside two or more of the first cells included in a level immediately higher than the one level, and forming a cell group with the selected first cells; making pattern data of the first cells not included in the cell group in consideration of the optical proximity effect and forming a fourth cell group with second cells including the pattern data; and replacing the first cells with the corresponding second cells in input data.Type: GrantFiled: March 17, 2009Date of Patent: May 27, 2014Assignee: Fujitsu Semiconductor LimitedInventors: Muneto Saito, Koichi Suzuki, Mitsuo Sakurai, Norimasa Nagase
-
Patent number: 8739095Abstract: Disclosed are a method, apparatus, and computer program product for performing interactive layout editing to address double patterning approaches to implement lithography of electronic designs. A spatial query is performed around the shape(s) being created during editing with the distance of allowed spacing in a single mask. If a design error is encountered, corrective editing may occur to correct the error. Checking may occur to make sure that the error detection and corrective actions can be performed interactively.Type: GrantFiled: March 8, 2010Date of Patent: May 27, 2014Assignee: Cadence Design Systems, Inc.Inventors: Min Cao, Roland Ruehl
-
Patent number: 8739076Abstract: A photolithographic modeling process is disclosed. Optical and non-optical parts of a model of the photolithographic process are calibrated. With the non-optical part of the model one or more model corrections are determined between (i) modeled critical dimension data from an aerial image generated by the optical part of the model, and (ii) empirical critical dimension data from tangible structures made at only a first process combination of a first dose and a first defocus in the photolithographic process. Critical dimension data of the photolithographic process are predicted at a second process combination of a second dose and a second defocus in the photolithographic process.Type: GrantFiled: September 11, 2012Date of Patent: May 27, 2014Assignee: Synopsys, Inc.Inventor: Artak Isoyan
-
Patent number: 8732626Abstract: A method and system check a double patterning layout in abutting cells and switch the pattern in one of the cells if the edge patterns in each cell are in the same mask. The method includes receiving layout data having patterns in abutting cells, changing a designated mask in one cell if the edge patterns are in the same mask, adjusting cell edge spacings at a shared edge according to a minimum spacing rule and a G1-rule, and outputting a presentation of the layout data.Type: GrantFiled: January 5, 2012Date of Patent: May 20, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chia-Chu Liu, Kuei Shun Chen
-
Patent number: 8732629Abstract: Disclosed herein are correcting methods and devices for lithography hotspots of the post-routing layout, used for correcting lithography hotspots detected in the post-routing layout. At least one two-dimensional pattern of changeable size or position of the number of hotspots in the local area is selected and adjusted, so that the simulation value of the aerial image intensity of various local areas is optimized. The simulation value of the aerial image intensity is derived through calculation with respect to a set of optical simulation model cells that can be determined by the numerical value of distribution of the aerial image intensity of a number of basic two-dimensional patterns. After adjustment, the aerial image intensity of the local area can be calculated with respect to a set of optical simulation model cells, and a number of cells in the simulation model cells are selected to synthesize the two-dimensional pattern after the change.Type: GrantFiled: October 28, 2010Date of Patent: May 20, 2014Assignee: Synopsys, Inc.Inventor: Yang-Shan Tong
-
Patent number: 8732628Abstract: A method comprises: selecting a circuit pattern or network of circuit patterns in a layout of an integrated circuit (IC) to be fabricating using double patterning technology (DPT). Circuit patterns near the selected circuit pattern or network are grouped into one or more groups. For each group, a respective expected resistance-capacitance (RC) extraction error cost is calculated, which is associated with a mask alignment error, for two different sets of mask assignments. The circuit patterns in the one or more groups are assigned to be patterned by respective photomasks, so as to minimize a total of the expected RC extraction error costs.Type: GrantFiled: January 16, 2013Date of Patent: May 20, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Meng-Fan Wu, I-Fan Lin, Ke-Ying Su, Hsiao-Shu Chao, Yi-Kan Cheng
-
Patent number: 8726200Abstract: Apparatus includes a machine readable storage medium for storing a template library having at least one template. The template is to include a first layout representation of at least one pattern to be formed by multi-patterning a single layer of an IC. The pattern has a plurality of portions to be formed using a plurality of respectively different photomasks. The first layout representation includes data identifying on which photomask each portion is to be located. An electronic design automation (EDA) tool includes a processor configured to receive a hardware description language representation of at least a part of a circuit and generate a second layout representation of the part of the circuit having a plurality of polygons. The EDA tool has a matching module that identifies and outputs an indication of whether one or more of the plurality of portions matches a subset of the plurality of polygons.Type: GrantFiled: November 23, 2011Date of Patent: May 13, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chung-Min Fu, Yung-Fong Lu, Wen-Ju Yang, Chin-Chang Hsu
-
Patent number: 8726202Abstract: An image of a mask pattern is overlaid on an image of a mask blank annotated with the center location and dimensions of each measured mask defect. Design clips centered at the measured defects are generated with lateral dimensions less than allowable movement of the mask pattern over the mask blank. Each design clip is converted into a binary image including pixels corresponding to defect-activating regions and pixels corresponding to defect-hiding regions. Each pixel region representing the defect-activating region is expanded by laterally biasing peripheries by one half of the lateral extent of the defect located within the corresponding design clip. Biased design clips are logically compiled pixel by pixel to determine an optimal pattern shift vector representing the amount of pattern shift.Type: GrantFiled: April 13, 2012Date of Patent: May 13, 2014Assignee: International Business Machines CorporationInventor: Alfred Wagner
-
Publication number: 20140129997Abstract: A method for mask process correction or forming a pattern on a reticle using charged particle beam lithography is disclosed, where the reticle is to be used in an optical lithographic process to form a pattern on a wafer, where sensitivity of the wafer pattern is calculated with respect to changes in dimension of the reticle pattern, and where pattern exposure information is modified to increase edge slope of the reticle pattern where sensitivity of the wafer pattern is high. A method for fracturing or mask data preparation is also disclosed, where pattern exposure information is determined that can form a pattern on a reticle using charged particle beam lithography, where the reticle is to be used in an optical lithographic process to form a pattern on a wafer, and where sensitivity of the wafer pattern is calculated with respect to changes in dimension of the reticle pattern.Type: ApplicationFiled: March 13, 2013Publication date: May 8, 2014Applicant: D2S, INC.Inventors: Akira Fujimura, Kazuyuki Hagiwara, Robert C. Pack
-
Publication number: 20140129996Abstract: A method for mask process correction or forming a pattern on a resist-coated reticle using charged particle beam lithography is disclosed, where the reticle is to be used in an optical lithographic process to form a pattern on a wafer, where the sensitivity of the wafer pattern is calculated with respect to changes in resist exposure of the reticle, and where the pattern exposure information is modified to lower the calculated sensitivity. A method for fracturing or mask data preparation is also disclosed, where pattern exposure information is determined that can form a pattern on a resist-coated reticle using charged particle beam lithography, where the reticle is to be used in an optical lithographic process to form a pattern on a wafer, and where the sensitivity of the wafer pattern is calculated with respect to changes in resist exposure of the reticle.Type: ApplicationFiled: March 13, 2013Publication date: May 8, 2014Applicant: D2S, INC.Inventors: Akira Fujimura, Kazuyuki Hagiwara, Robert C. Pack, Anatoly Aadamov
-
Patent number: 8719737Abstract: Some embodiments of the invention provide a method for automatically, accurately, and efficiently identifying double patterning (DP) loop violations in an IC design layout. The method of some embodiments identifies DP loop violations in a manner that eliminates false identification of DP loop violations without missing DP loop violations that should be identified. The method of some embodiments also generates a marker for each identified DP loop violation to indicate that a set of shapes associated with the marker forms the DP loop and displays the marker in the design layout.Type: GrantFiled: July 20, 2012Date of Patent: May 6, 2014Assignee: Cadence Design Systems, Inc.Inventor: Xiaojun Wang
-
Patent number: 8718354Abstract: The invention relates to a method for analyzing masks for photolithography. In this method, an aerial image of the mask for a first focus setting is generated and stored in an aerial image data record. The aerial image data record is transferred to an algorithm that simulates a photolithographic wafer exposure on the basis of this data record. In this case, the simulation is carried out for a plurality of mutually different energy doses. Then, at a predetermined height from the wafer surface, contours which separate regions with photoresist from those regions without photoresist are in each case determined. The result, that is to say the contours, are stored for each of the energy doses in each case in a contour data record with the energy dose as a parameter.Type: GrantFiled: April 9, 2009Date of Patent: May 6, 2014Assignee: Carl Zeiss SMS GmbHInventors: Ulrich Stroessner, Thomas Scheruebl
-
Patent number: 8719735Abstract: Mask layout data of a lithographic mask includes polygons that each include horizontal and vertical edges. Each of a number of target edge pairs is defined by two edges of one or more of the polygons. A search box having a boundary coincident with a given edge of the edges of the polygons is specified. Whether the search box includes at least one edge of the edges of the polygons in addition to the given edge is determined. Where the search box includes at least one edge, at least one of the target edge pairs is specified as including the given edge and one of the at least one edge. For each target edge pair that has been specified, a manufacturability penalty value is determined. A dynamic manufacturability constraint table and a non-zero multiplier table are maintained.Type: GrantFiled: July 14, 2011Date of Patent: May 6, 2014Assignee: International Business Machines CorporationInventors: Masaharu Sakamoto, Alan E. Rosenbluth, Marc Alan Szeto-Millstone, Tadanobu Inoue, Kehan Tian, Andreas Waechter, Jonathan Lee, David Osmond Melville
-
Patent number: 8719736Abstract: A method for correcting topography proximity effects (TPE) for an integrated circuit (IC) design is described. This method includes dividing the IC design into a plurality of levels (z-direction). Each level can be decomposed into one or more elementary geometries. These elementary geometries can be top view geometries, cross-sectional geometries, half-plane geometries, geometries with single slope sides, and/or geometries with multiple slope sides. The one or more elementary geometries can be compared to primitives in a library. A transfer matrix can be generated using the matching primitives and the elementary geometries. A disturbance matrix can be calculated based on the transfer matrix. This disturbance matrix can advantageously capture a spectrum of a reflective electric field from a spectrum of an incident electric field. Wave propagation through a photoresist layer can be performed using the disturbance matrix for the plurality of levels.Type: GrantFiled: March 15, 2013Date of Patent: May 6, 2014Assignee: Synopsys, Inc.Inventors: Hongbo Zhang, Nikolay Voznesenskiy, Qiliang Yan, Ebo Kwabena Gyan Croffie
-
Patent number: 8713485Abstract: Embodiments of the invention include a method for categorizing and displaying design rule errors. The method may include receiving, from a design rule checker, more than one violation of a design rule within a design layout. The method may also include determining distinct categories of the design rule violations by comparing parameters associated with the design rule violations.Type: GrantFiled: May 29, 2012Date of Patent: April 29, 2014Assignee: International Business Machines CorporationInventors: Richard S. Brink, Michael R. Curry, Jay A. Lawrence, Thomas C. Perez, Scott Trcka, John W. Zack
-
Patent number: 8713484Abstract: Some embodiments of the invention provide a manufacturing aware process for designing an integrated circuit (“IC”) layout. The process receives a manufacturing configuration that specifies a set of manufacturing settings for a set of machines to be used to manufacture an IC based on the IC layout. The process defines a set of design rules based on the specified manufacturing configuration. The process uses the set of design rules to design the IC layout. Some embodiments of the invention provide a design aware process for manufacturing an integrated circuit (“IC”). The process receives an IC design with an associated set of design properties. The process specifies a manufacturing configuration that specifies a set of manufacturing settings for a set of machines to be used to manufacture the IC, where the specified set of manufacturing settings are based on the set of design properties. The process manufactures the IC based on the manufacturing settings.Type: GrantFiled: March 24, 2010Date of Patent: April 29, 2014Assignee: Cadence Design Systems, Inc.Inventors: Louis K. Scheffer, Akira Fujimura
-
Patent number: 8713483Abstract: A method for separating features in a target layout into different mask layouts for use in a photolithographic process. Features of a target layer are searched for features having a predefined shape. In one embodiment, portions of the feature having the predefined shape divided into two or more sub-features and at least one sub-feature are not considered when separating the features into two or more mask layouts. In another embodiment, features having a predefined shape are cut to form two or more sub-features and all features and sub-features are considered when separating the features of the target layout into the two or more mask layouts.Type: GrantFiled: June 5, 2007Date of Patent: April 29, 2014Assignee: Mentor Graphics CorporationInventors: Alexander Tritchkov, Emile Y. Sahouria, Le Hong
-
Patent number: 8713499Abstract: A method of electron-beam lithography is provided, notably for technologies of critical dimension of the order of 22 nm. In such methods applied notably to networks of lines, the methods of the prior art do not offer precise and efficient correction of the shortenings of line ends. The method provided solves this problem by carrying out the insertion of contrast intensification structures of types which are optimized for the structure of the lines to be corrected. The method allows the semi-automatic or automatic calculation of the dimensions and locations of said structures. Advantageously, these calculations may be modeled to produce a target design, derived from libraries of components. They may be supplemented with a joint optimization of the size of the etchings and of the radiated doses, as a function of the process energy latitude.Type: GrantFiled: April 13, 2011Date of Patent: April 29, 2014Assignee: Commissariat a l'Energie Atomique et aux Energies AlternativesInventor: Serdar Manakli
-
Patent number: 8713489Abstract: A parameter correction method includes: obtaining, from a variability-aware simulation, a simulation result value of a predetermined product performance for a reference candidate value set concerning statistics of predetermined product characteristics; calculating a likelihood by substituting the reference candidate value set, the obtained simulation result value, statistics of measurement values of the predetermined product characteristics and a measurement value of the predetermined product performance into a likelihood function that is defined from a probability density function for the statistics of the predetermined product characteristics and a probability density function for the predetermined product performance, and is a function to calculate a combined likelihood of the statistics of the predetermined product characteristics and the predetermined product performance; and searching for a reference candidate value set in case where the calculated likelihood becomes maximum, by carrying out the obtainiType: GrantFiled: March 21, 2011Date of Patent: April 29, 2014Assignee: Fujitsu LimitedInventors: Hiroyuki Higuchi, Hidetoshi Matsuoka
-
Patent number: 8707231Abstract: A system and method are provided for enabling a systematic detection of issues arising during the course of mask generation for a semiconductor device. IC mask layer descriptions are analyzed and information is generated that identifies devices formed by active layers in the masks, along with a description of all layers in proximity to the found devices. The IC mask information is compared to a netlist file generated from the initial as-designed schematic. Determinations can then made, for example, as to whether all intended devices are present, any conflicting layers are in proximity to or interacting with the intended devices, and any unintended devices are present in the mask layers. Steps can then be taken to resolve the issues presented by the problematic devices.Type: GrantFiled: July 31, 2012Date of Patent: April 22, 2014Assignee: Freescale Semiconductor, Inc.Inventors: Douglas M. Reber, Mehul D. Shroff, Edward O. Travis
-
Patent number: 8707220Abstract: An integrated circuit is formed by identifying process parameters of a plurality of process steps for the first partial lot containing the integrated circuit; confirming the number of wafers in the first partial lot is less than the wafer carrier capacity; examining lots upstream of the partial lot and identifying a second partial lot which can be combined with the first partial lot into a single wafer carrier and which can be processed with the first partial lot; combining the wafers of the partial lots into a single wafer carrier; processing the partial lots through the plurality of process steps; and performing a multi-lot verification process. The multi-lot verification process determines if all wafers in the partial lots have completed the process step; determines if any wafers in the partial lots are on hold; and determining if all wafers in the partial lots are in a same material carrier.Type: GrantFiled: June 12, 2013Date of Patent: April 22, 2014Assignee: Texas Instruments IncorporatedInventors: Byron Joseph Palla, Stephanie Leanne Hilbun
-
Patent number: 8701052Abstract: A method of optical proximity correction (OPC) includes the following steps. A layout pattern is provided to a computer system, and the layout pattern is classified into at least a first sub-layout pattern and at least a second sub-layout pattern. Then, at least an OPC calculation is performed respectively on the first sub-layout pattern and the second sub-layout pattern to form a corrected first sub-layout pattern and a corrected second sub-layout pattern. The corrected first sub-layout pattern/the corrected second sub-layout pattern and the layout pattern are compared to select a part of the corrected first sub-layout pattern/the corrected second sub-layout pattern as a first selected pattern/the second selected pattern, and the first selected pattern/the second selected pattern is further altered to modify the corrected first sub-layout pattern/the corrected second sub-layout pattern as a third sub-layout pattern/a fourth sub-layout pattern.Type: GrantFiled: January 23, 2013Date of Patent: April 15, 2014Assignee: United Microelectronics Corp.Inventors: Hui-Fang Kuo, Ming-Jui Chen, Cheng-Te Wang
-
Patent number: 8689157Abstract: Some embodiments of the invention provide a method for verifying an integrated circuit (IC) design. The method receives a process description file that specifies a process technology for building the IC. The process description file describes a particular device type in which a first conductor overlaps a second conductor by recessing from the second conductor in one or more cut-outs. Based on the process description file, the method finds a section of the IC design that matches the particular device type and uses the description of the particular device type to compute a capacitance value and a resistance value for the section of the IC design.Type: GrantFiled: October 18, 2012Date of Patent: April 1, 2014Assignee: Cadence Design Systems, Inc.Inventors: Chi-Yuan Lo, Mikhail Khapaev
-
Patent number: 8689149Abstract: Mask design techniques for sharp corner printing in liquid crystal displays are disclosed using multiple patterns. The viewing angle and color quality of thin film transistor liquid crystal displays are largely dependent upon electrode corner sharpness as patterned in a given metal layer. Depending on design style, critical elements include convex angles, concave angles, or both convex and concave angles. Angle sharpness is dependent upon the resolution limit of a given exposure system. Since critical design element requirements exceed the capabilities of one mask, two or more masks are implemented. The determination of critical pattern features within a given layer identifies angles that are problematic for fabrication. The critical pattern features are decomposed into multiple mask layers. The resulting multi-pattern arrangement is used to fabricate the critical design elements that make up the needed angles.Type: GrantFiled: January 11, 2013Date of Patent: April 1, 2014Assignee: Synopsys, Inc.Inventors: Yuelin Du, Hua Song, James Shiely
-
Patent number: 8689150Abstract: A method of fabricating a semiconductor device includes preparing a layout of the semiconductor device, obtaining contrast of an exposure image of the layout through a simulation under a condition of using a crosspole illumination system, separating the layout into a plurality of sub-layouts based on the contrast of the exposure image, forming a photomask having a mask pattern corresponding to the plurality of sub-layouts, and performing an exposure process using the photomask under an exposure condition of using a dipole illumination system.Type: GrantFiled: February 28, 2012Date of Patent: April 1, 2014Assignee: Samsung Electronics Co., Ltd.Inventors: Jee-eun Jung, Kyoung-yun Baek, Seong-woon Choi
-
Patent number: 8683393Abstract: Systems for integrated electronic and photonic design include a graphical user interface (GUI) configured to lay out electronic and photonic design components in a design environment; a design rule checking (DRC) module configured to check design rules for electronic and photonic components according to manufacturing requirements; and a processor configured to adjust photonic components according to photonic design requirements and to reconcile conflicts between electronic and photonic components.Type: GrantFiled: July 9, 2012Date of Patent: March 25, 2014Assignee: International Business Machines CorporationInventors: Emrah Acar, Michael P. Beakes, William M. Green, Jonathan E. Proesel, Alexander V. Rylyakov, Yurii A. Vlasov
-
Patent number: 8683395Abstract: Embodiments of the present disclosure disclose a method of forming a new integrated circuit design on a semiconductor wafer using a photolithography tool. The method includes selecting a previously processed wafer having a past integrated circuit design different than the new integrated circuit design, selecting a plurality of critical dimension (CD) data points extracted from the previously processed wafer after the previously processed wafer was etched, and creating a field layout and associated baseline exposure dose map for the new integrated circuit design. The method also includes refining each field in the baseline exposure dose map based on a difference between an average CD for the previously processed wafer and an average CD for each field in the field layout and controlling the exposure of the photolithography tool according to the refined baseline exposure dose map to form the new integrated circuit design on the semiconductor wafer.Type: GrantFiled: April 22, 2013Date of Patent: March 25, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chih-Jen Yu, Chun-Hung Lin, Juin-Hung Lin, Hsueh-Yi Chung, Li-Kong Turn, Keh-Wen Chang
-
Patent number: 8683392Abstract: Provided is a method of fabricating a semiconductor device. The method includes providing an integrated circuit layout plan, the integrated circuit layout plan containing a plurality of semiconductor features. The method includes selecting a subset of the features for decomposition as part of a double patterning process. The method includes designating a relationship between at least a first feature and a second feature of the subset of the features. The relationship dictates whether the first and second features are assigned to a same photomask or separate photomasks. The designating is carried out using a pseudo feature that is part of the layout plan but does not appear on a photomask. The method may further include a double patterning conflict check process, which may include an odd-loop check process.Type: GrantFiled: July 21, 2011Date of Patent: March 25, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Ken-Hsien Hsieh, Huang-Yu Chen, Jhih-Jian Wang, Cheng Kun Tsai, Tsong-Hua Ou, Wen-Chun Huang, Ru-Gun Liu
-
Publication number: 20140080041Abstract: Data regarding a first corrected patterns on a single cell corrected such that an evaluation value of a pattern formed on a substrate after an image of a pattern of the single cell is projected onto a resist on the substrate and the resist is developed is obtained for each of a plurality of cells, a first evaluation value obtained by evaluating a projected image of the first corrected pattern on the single cell generated by the projection system is obtained for each of the cells, a second evaluation value obtained by, when the cells are arranged adjacent to one another, evaluating the projected images of the first corrected patterns on the cells is calculated, and creating a second corrected pattern by correcting the first corrected patterns on the cells arranged adjacent to one another such that the second evaluation value becomes close to the first evaluation value.Type: ApplicationFiled: September 11, 2013Publication date: March 20, 2014Applicant: CANON KABUSHIKI KAISHAInventors: Ryo Nakayama, Tadashi Arai
-
Patent number: 8677293Abstract: One embodiment relates to a computer method of evaluating proposed edits to a target layer of an integrated circuit. In the method, a number of editable regions is determined for metal layers overlying the target layer, where an editable region for a metal layer is laterally arranged between segments of the metal layer. The method identifies a number of possible vertical milling paths that extend from an exterior surface of the integrated surface to the target layer. Each possible vertical milling path passes through at least one editable region. The method generates a number of possible edit plans that are based on both the proposed edits and the number of possible vertical milling paths, where each edit plan places edits in a different combination of possible vertical milling paths.Type: GrantFiled: December 22, 2008Date of Patent: March 18, 2014Assignee: Texas Instruments IncorporatedInventor: Lance Christopher Jensen
-
Patent number: 8677291Abstract: A method for enabling functionality in circuit designs utilizing colorless DPT M1 route placement that maintains high routing efficiency and guarantees M1 decomposability of a target pattern and the resulting circuit are disclosed. Embodiments include: determining a boundary abutting first and second cells in an IC; determining a side of a first edge pin in the first cell facing a side of a second edge pin in the second cell; determining a first vertical segment of at least a portion of the side of the first edge pin and a second vertical segment of at least a portion of the side of the second edge pin; designating an area between the first vertical segment and the boundary as a first portion of a routing zone; and designating an area between the second vertical segment and the boundary as a second portion of the routing zone.Type: GrantFiled: October 8, 2012Date of Patent: March 18, 2014Assignee: GlobalFoundries Inc.Inventors: Lei Yuan, Jongwook Kye, Mahbub Rashed, Qinglei Wang
-
Publication number: 20140075396Abstract: A method and system to predict lithography focus error using chip topography data is disclosed. The chip topography data may be measured or simulated topography data. A plane is best fitted to the topography data, and residuals are computed. The residuals are then used to make a prediction regarding the focus error. The density ratio of metal to dielectric may also be used as a factor in determining the predicted focus error.Type: ApplicationFiled: November 15, 2013Publication date: March 13, 2014Applicant: International Business Machines CorporationInventors: Choongyeun Cho, Lawrence A. Clevenger, Laertis Economikos, Bernhard R. Liegl, Kevin S. Petrarca, Roger Allan Quon, Brian Christopher Sapp
-
Publication number: 20140075395Abstract: According to an embodiment, in a semiconductor integrated circuit design apparatus for assigning a plurality of wires placed at one wiring layer to a plurality of photomasks, an operation-timing-critical wire is identified from among the plurality of wires placed at a same wiring layer, an adjacent wire which is placed adjacent to the critical wire is extracted, the critical wire and the adjacent wire are laid out such that an interval between the critical wire and the adjacent wire is at least a predetermined distance, and layout patterns of the critical wire and the adjacent wire is assigned to the same photomask.Type: ApplicationFiled: February 6, 2013Publication date: March 13, 2014Applicant: KABUSHIKI KAISHA TOSHIBAInventor: Kazunari KIMURA
-
Patent number: 8671367Abstract: Disclosed is a system, method, and computer-readable medium for designing a circuit and/or IC chip to be provided using an optical shrink technology node. Initial design data may be provided in a first technology node and through the use of embedding scaling factors in one or more EDA tools of the design flow, a design (e.g., mask data) can be generated for the circuit in an optical shrink technology node. Examples of EDA tools in which embedded scaling factors may be provided are simulation models and extraction tools including LPE decks and RC extraction technology files.Type: GrantFiled: December 19, 2008Date of Patent: March 11, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chung-Hsing Wang, Lee-Chung Lu, Yung-Chin Hou, Lie-Szu Juang