Layout Generation (polygon, Pattern Feature) Patents (Class 716/55)
  • Patent number: 8584053
    Abstract: A method for designing a mask set including at least one mask includes the implementation of at least one design rule from a set of design rules. The design rules include rules relating to allowable spacing between adjacent features, overlap of features defined by different masks in the mask set, and other characteristics of the mask set.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: November 12, 2013
    Assignee: Texas Intruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 8584058
    Abstract: Methods are disclosed for defining evaluation points for use in optical proximity correction of a rectangular target geometry. A method for defining evaluation points for use in optical proximity correction of a rectangular target geometry may comprise predicting a contour of an image to be produced in an optical proximity correction simulation of a target geometry. The target geometry may comprise a plurality of line segments, each line segment of the plurality having one evaluation point defined thereon. The method may further comprise shifting at least one evaluation point to an associated point on the predicted contour of the image.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: November 12, 2013
    Assignee: Micron Technology, Inc.
    Inventors: John R. C. Futrell, Ezequiel Vidal Russell, William A. Stanton
  • Patent number: 8584052
    Abstract: A system and method for providing a cell layout for multiple patterning technology is provided. An area to be patterned is divided into alternating sites corresponding to the various masks. During a layout process, sites located along a boundary of a cell are limited to having patterns in the mask associated with the boundary site. When placed, the individual cells are arranged such that the adjoining cells alternate the sites allocated to the various masks. In this manner, the designer knows when designing each individual cell that the mask pattern for one cell will be too close to the mask pattern for an adjoining cell.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: November 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Ken-Hsien Hsieh, Ru-Gun Liu, Lee-Chung Lu
  • Patent number: 8577124
    Abstract: A pattern inspection apparatus can be provided, for example, in a scanning electron microscope system. When patterns of a plurality of layers are included in a SEM image, the apparatus separates the patterns according to each layer by using design data of the plurality of layers corresponding to the patterns. Consequently, the apparatus can realize inspection with use of only the pattern of a target layer to be inspected, pattern inspection differently for different layers, or detection of a positional offset between the layers.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: November 5, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Akiyuki Sugiyama, Ryoichi Matsuoka, Takumichi Sutani, Hidemitsu Naya
  • Patent number: 8578316
    Abstract: A method of automatically generating structure files employing a full structure generator automated program is provided. An annotated device layout file is generated from a design layout by annotating the codes for design shapes with additional text representing the functionality of a physical structure associated with each design shape. Functioning individual semiconductor devices are identified from the annotated device layout file, and a circuit area including multiple interconnected semiconductor devices are identified. A front-end-of-line (FEOL) device structure file and a back-end-of-line (BEOL) device structure file are generated from layer by layer analysis of the components of the annotated device layout within the circuit area. Finite element meshes (FEMs) are generated for the FEOL and BEOL structure files and merged to provide a structure file that can be employed for simulation of semiconductor devices therein.
    Type: Grant
    Filed: September 8, 2012
    Date of Patent: November 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: Rajiv V. Joshi, Ajay N. Bhoj
  • Patent number: 8578303
    Abstract: A method for compensating an effect of a patterning process is illustrated. The main concept of the method for compensating the effect of the patterning process is to add or subtract the correction amounts for all segments according to the set of the comparison values at the set of the evaluation points. Compared with the delta-chrome optical proximity correction method, the run time of the method for compensating the effect of the patterning process is reduced, the memory usage of the method for compensating the effect of the patterning process not increased, and the correction accuracy of the method for compensating the effect of the patterning process is not reduced.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: November 5, 2013
    Assignee: National Taiwan University
    Inventors: Kuen-Yu Tsai, Chooi-Wan Ng, Yi-Sheng Su
  • Publication number: 20130290914
    Abstract: Methods and apparatus of performing floorplanning and routing for function blocks within a die and among multiple die are disclosed. Multiple die together with function blocks within each die may be represented by a flexible hierarchical (FH) tree. An initial floorplan for multiple die may be generated and hot spots between die or among function blocks within a die may be identified. Spacer blocks may be inserted between die, and block inflation may be performed, to remove hot spots. More perturbation of the block positions can be performed on the FH tree to rearrange the blocks and die. After the multiple die floorplanning, a plurality of micro bumps may be mapped to a plurality of pins of blocks of the plurality of die, placement and routing may be performed for the plurality of blocks within each die and connections for the plurality of dies.
    Type: Application
    Filed: July 9, 2012
    Publication date: October 31, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Lin Chuang, Ji-Jan Chen, Ching-Fang Chen, Yun-Han Lee
  • Patent number: 8572525
    Abstract: A group of models are developed to predict printed contour deviations relative to the corresponding layout edges for different classes of layout topologies. A plurality of calibration layouts with topologies belonging to a class of layout topologies are used to generate a model for the class of layout topologies. A standard least square regression is modified for model creation. The model error may be monitored dynamically.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: October 29, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Marko P Chew, Yue Yang, Toshikazu Endo
  • Patent number: 8572518
    Abstract: A method for predicting pattern critical dimensions in a lithographic exposure process includes defining relationships between critical dimension, defocus, and dose. The method also includes performing at least one exposure run in creating a pattern on a wafer. The method also includes creating a dose map. The method also includes creating a defocus map. The method also includes predicting pattern critical dimensions based on the relationships, the dose map, and the defocus map.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: October 29, 2013
    Assignee: Nikon Precision Inc.
    Inventors: Jacek K. Tyminski, Raluca Popescu
  • Patent number: 8572524
    Abstract: An optical proximity correction (OPC) model incorporates inline process variation data. OPC is performed by adjusting an input mask pattern with a mask bias derived from the OPC model to correct errors in the input mask pattern.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: October 29, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Wenzhan Zhou, Liang Choo Hsia, Meisheng Zhou, Zheng Zou
  • Patent number: 8572523
    Abstract: A method for performing leakage analysis includes receiving information specifying an integrated circuit. A neighborhood of shapes associated with the integrated circuit is then determined. Leakage information associated with the integrated circuit is generated based on the neighborhood of shapes. The neighborhood of shapes may be determined by determining a first set of spacings to a boundary of a first cell from an internal shape. A second set of spacings may be determined from the boundary of the first cell to a shape of a second cell. A lithography process may be characterized using the first and second set of spacings.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 29, 2013
    Assignee: Synopsys, Inc.
    Inventors: Emre Tuncer, Hui Zheng, Vivek Raghavan, Anirudh Devgan, Amir Ajami, Alessandra Nardi, Tao Lin, Pramod Thazhathethil, Alfred Wong
  • Patent number: 8566770
    Abstract: This invention comprises a layout method to effectively protect logic circuits against soft errors (non-destructive errors) and circuit cells, with layout, which are protected against soft errors. In particular, the method protects against cases where multiple nodes in circuit are affected by a single event. These events lead to multiple errors in the circuit, and while several methods exist to deal with single node errors, multiple node errors are very hard to deal with using any currently existing protection methods. The method is particularly useful for CMOS based logic circuits in modern technologies (.ltoreq.90 nm), where the occurrence of multiple node pulses becomes high (due to the high integration level). It uses a unique layout configuration, which makes the circuits protected against single event generated soft-errors.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: October 22, 2013
    Inventor: Klas Olof Lilja
  • Patent number: 8566753
    Abstract: A method of performing a resolution enhancement technique such as OPC on an initial layout description involves fragmenting a polygon that represents a feature to be created into a number of edge fragments. One or more of the edge fragments is assigned an initial simulation site at which the image intensity is calculated. Upon calculation of the image intensity, the position and/or number of initial simulation sites is varied. New calculations are made of the image intensity with the revised placement or number of simulation sites in order to calculate an OPC correction for the edge fragment. In other embodiments, fragmentation of a polygon is adjusted based on the image intensities calculated at the simulation sites. In one embodiment, the image intensity gradient vector calculated at the initial simulation sites is used to adjust the simulation sites and/or fragmentation of the polygon.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 22, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: James Word, Nicolas B. Cobb, Patrick J. LaCour
  • Patent number: 8566756
    Abstract: In a first process, a process A, an actually measured transfer position measured by a measurement/inspection instrument is indicated by a black circle. A targeted transfer position indicated by x in a process B is located at the same position as the black circle. Assuming that the weights in the subsequent processes are the same, a targeted transfer position Xtarget indicated by x in processes C, D and E is located at a moderate position with which the total deviation from an actual transfer position (black circle) measured by the measurement/inspection instrument in a process preceding the current process is minimized, that is, at a proper position with respect to a plurality of other processes. Accordingly, the productivity of devices can be improved.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: October 22, 2013
    Assignee: Nikon Corporation
    Inventor: Shinichi Okita
  • Patent number: 8563197
    Abstract: Design rules for circuit patterns of a semiconductor device are identified, and schematic layouts of the circuit patterns are generated according to the design rules. Lithography friendly layout (LFL) circuit patterns are generated from the schematic layouts. Target layout circuit patterns are generated from the LFL circuit patterns. Optical proximity effect correction (OPC) is performed on the target layout circuit patterns to generate OPC circuit patterns. A mask is fabricated from the OPC circuit patterns, and may be used fabricate a semiconductor device.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-soo Suh, Suk-joo Lee, Yong-hee Park, Mi-kyeong Lee
  • Patent number: 8563224
    Abstract: The present disclosure provides a dithering method of increasing wafer throughput by an electron beam lithography system. The dithering method generates an edge map from a vertex map. The vertex map is generated from an integrated circuit design layout (such as an original pattern bitmap). A gray map (also referred to as a pattern gray map) is also generated from the integrated circuit design layout. By combining the edge map with the gray map, a modified integrated circuit design layout (modified pattern bitmap) is generated for use by the electron beam lithography system.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hung Chen, Pei-Shiang Chen, Shih-Chi Wang, Jeng-Horng Chen
  • Patent number: 8566757
    Abstract: A method for defining a full phase layout for defining a layer of material in an integrated circuit is described. The method can be used to define, arrange, and refine phase shifters to substantially define the layer using phase shifting. Through the process, computer readable definitions of an alternating aperture, dark field phase shift mask and of a complimentary mask are generated. Masks can be made from the definitions and then used to fabricate a layer of material in an integrated circuit. The separations between phase shifters, or cuts, are designed for easy mask manufacturability while also maximizing the amount of each feature defined by the phase shifting mask. Cost functions are used to describe the relative quality of phase assignments and to select higher quality phase assignments and reduce phase conflicts.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: October 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Michel L. Cote, Christophe Pierrat
  • Patent number: 8560977
    Abstract: According to one embodiment, a plurality of test drop recipes are first created based on design data on a semiconductor integrated circuit. Based on a defect inspection result of a pattern of a hardening resin material, which is formed by pressing a template on which patterns of the semiconductor integrated circuit are formed onto the hardening resin material applied to a substrate to be processed by use of the test drop recipes, a drop recipe with least defects is selected per press position on the substrate to be processed from the test drop recipes. The selected drop recipes for respective press positions are collected per functional circuit block configuring the semiconductor integrated circuit, thereby to generate a drop recipe creation assistant database.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: October 15, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasuo Matsuoka, Takumi Ota, Ryoichi Inanami
  • Patent number: 8560998
    Abstract: Disclosed are a method, apparatus, and computer program product to implement routing for double patterning lithography. A three-phase routing scheme is employed, comprising a global router, a C-router, and a detail router. The C-router provides double patterning color seeding for shapes on routing tracks in the electronic design. The detail router employs space-tiles to perform double-patterning based routing for wires in the electronic design.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: October 15, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey Scott Salowe, Satish Samuel Raj
  • Patent number: 8560979
    Abstract: A multivariable solver for proximity correction uses a Jacobian matrix to approximate effects of perturbations of segment locations in successive iterations of a design loop. The problem is formulated as a constrained minimization problem with box, linear equality, and linear inequality constraints. To improve computational efficiency, non-local interactions are ignored, which results in a sparse Jacobian matrix.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: October 15, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: William S. Wong, Fei Liu, Been-Der Chen, Yen-Wen Lu
  • Patent number: 8560978
    Abstract: Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: October 15, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye
  • Patent number: 8555211
    Abstract: A method of making a mask includes receiving an IC design layout from a designer, applying an logic operation (LOP) correction, performing an OPC correction, fracturing the modified data into a plurality of main features in an electron beam format, and sending the electron beam format data to a mask writer for a mask fabrication. An XOR operation is implemented into the method to check and verify if a pattern is lost during OPC modification and/or data fracture. A BACKBONE XOR operation is also implemented into the method for a plurality of main features with a critical dimension (CD) size smaller than the max OPC correction to check and verify if a small pattern feature is lost during OPC modification and/or data fracture for 45 nm and beyond semiconductor technologies.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: October 8, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jia-Guei Jou, Kuan-Chi Chen, Peng-Ren Chen, Dong-Hsu Cheng
  • Patent number: 8555210
    Abstract: Systems and methods are disclosed for a stochastic model of mask process variability of a photolithography process, such as for semiconductor manufacturing. In one embodiment, a stochastic error model may be based on a probability distribution of mask process error. The stochastic error model may generate a plurality of mask layouts having stochastic errors, such as random and non-uniform variations of contacts. In other embodiments, the stochastic model may be applied to critical dimension uniformity (CDU) optimization or design rule (DR) sophistication.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: October 8, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Ming-Chuan Yang, Jung H. Woo
  • Patent number: 8555214
    Abstract: During a calculation technique, contributions to reflected light from multiple discrete cells in a model of a multilayer stack in a reflective photo-mask may be determined based on angles of incidence of light in a light pattern to the multilayer stack, a polarization of the light in the light pattern, and a varying intensity of the light in the light pattern through the multilayer stack. Then, phase values of the contributions to the reflected light from the multiple discrete cells are adjusted, thereby specifying optical path differences between the multiple discrete cells in the multilayer stack that are associated with the defect. Moreover, the contributions to the reflected light from multiple discrete cells are combined to determine the reflected light from the multilayer stack. Next, k-space representations of the contributions to the reflected light from the multiple discrete cells are selectively shifted based on the angles of incidence.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: October 8, 2013
    Assignee: Luminescent Technologies, Inc.
    Inventor: Christopher Heinz Clifford
  • Patent number: 8555209
    Abstract: A method for forming a circuit layout comprises performing process proximity effect modeling based on process proximity effects caused by a sub-layer, wherein the sub-layer comprises an active layer positioned under a gate poly, and wherein performing the process proximity effect modeling includes calculating a pattern density of the sub-layer, incorporating results of the process proximity effect modeling into a modeling algorithm, and performing proximity correction using the results to manipulate a layout of a mask to be used when forming the circuit layout by photolithography.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: No Young Chung
  • Patent number: 8555215
    Abstract: Methods for fabricating semiconductor devices are provided. In an embodiment, a method of fabricating a semiconductor device includes scanning a circuit design layout and proposing patterns for decomposed layouts. The proposed patterns are then compared with a library of prior patterns including a category of forbidden patterns and a category of preferred patterns. If a selected proposed pattern matches a forbidden pattern, the selected proposed pattern is eliminated. If the selected proposed pattern matches a preferred pattern, then the selected proposed pattern is identified for use in the decomposed layouts. Decomposed layouts are generated from the identified patterns. A plurality of masks is fabricated based on the decomposed layouts. Then a multiple patterning lithographic technique is performed with the plurality of masks on a semiconductor substrate.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: October 8, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Yi Zou, Swamy Maddu, Lynn T. Wang, Vito Dai, Luigi Capodieci, Peng Xie
  • Patent number: 8554040
    Abstract: A planar lightwave circuit is provided which can be easily fabricated by an existing planar-lightwave-circuit fabrication process, which can lower the propagation loss of signal light and which can convert inputted signal light so as to derive desired signal light. A planar lightwave circuit having a core and a clad which are formed on a substrate, has input optical waveguide(s) (111) which inputs signal light, mode coupling part (112) for coupling a fundamental mode of the inputted signal light to a higher-order mode and/or a radiation mode, or mode re-coupling part (113) for re-coupling the higher-order mode and/or the radiation mode to the fundamental mode, and output optical waveguide(s) (114) which outputs signal light. The mode coupling part or the mode re-coupling part is an optical waveguide which has core width and/or height varied continuously.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: October 8, 2013
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventors: Takashi Saida, Yohei Sakamaki, Toshikazu Hashimoto, Tsutomu Kitoh, Hiroshi Takahashi, Masahiro Yanagisawa, Senichi Suzuki, Yasuhiro Hida, Motohaya Ishii, Munehisa Tamura
  • Publication number: 20130258304
    Abstract: The present disclosure provides a semiconductor lithography system. The lithography system includes a projection optics component. The projection optics component includes a curved aperture. The lithography system includes a photo mask positioned over the projection optics component. The photo mask contains a plurality of elongate semiconductor patterns. The semiconductor patterns each point in a direction substantially perpendicular to the curved aperture of the projection optics component. The present disclosure also provides a method. The method includes receiving a design layout for a semiconductor device. The design layout contains a plurality of semiconductor patterns each oriented in a given direction. The method includes transforming the design layout into a mask layout. The semiconductor patterns in the mask layout are oriented in a plurality of different directions as a function of their respective location.
    Type: Application
    Filed: April 2, 2012
    Publication date: October 3, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company
    Inventors: Ching-Hsu Chang, Nian-Fuh Cheng, Chih-Shiang Chou, Wen-Chun Huang, Ru-Gun Liu
  • Publication number: 20130263066
    Abstract: Some embodiments relate to a method of pre-coloring word lines and control lines within an SRAM integrated chip design to avoid timing delays that result from processing variations introduced through multiple patterning lithography processes. The method is performed by generating a graphical IC layout file having an SRAM circuit with a plurality of word lines and Y-control lines. The word lines and Y-control lines are assigned a color during decomposition. The word lines and Y-control lines are further pre-colored in a manner that deliberately assigns the pre-colored data to a same mask. Therefore, during mask building, data associated with pre-colored word and Y-control lines is sent to a same mask, regardless of the colors that are assigned to the data. By assigning word and Y-control lines to a same mask through pre-coloring, processing variations between the word and Y-control lines are minimized, thereby mitigating timing variations in an SRAM circuit.
    Type: Application
    Filed: September 10, 2012
    Publication date: October 3, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Huei Chen, Hung-Jen Liao, Jonathan Tsung-Yung Chang
  • Publication number: 20130263065
    Abstract: Some embodiments relate to a method for pre-coloring data within an integrated chip layout to avoid overlay errors that result from mask misalignment during multiple patterning lithography. The method may be performed by generating a graphical IC layout file containing an integrated chip layout having a plurality of IC shapes. The IC shapes within the graphical IC layout file are assigned a color during decomposition. The IC shapes are further pre-colored in a manner that deliberately assigns the pre-colored data to a same mask. During mask building data associated with IC shapes that have been pre-colored is automatically sent to a same mask, regardless of the colors that are assigned to the shapes. Therefore, the pre-colored shapes are not assigned to a masked based upon a decomposition, but rather based upon the pre-coloring. By assigning IC shapes to a same mask through pre-coloring, overlay errors can be reduced.
    Type: Application
    Filed: August 15, 2012
    Publication date: October 3, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Huei Chen, Wei Min Chan, Hung-Jen Liao, Jonathan Tsung-Yung Chang
  • Patent number: 8543947
    Abstract: The present invention relates generally to selecting optimum patterns based on diffraction signature analysis, and more particularly to, using the optimum patterns for mask-optimization for lithographic imaging. A respective diffraction map is generated for each of a plurality of target patterns from an initial larger set of target patterns from the design layout. Diffraction signatures are identified from the various diffraction maps. The plurality of target patterns is grouped into various diffraction-signature groups, the target patterns in a specific diffraction-signature group having similar diffraction signature. A subset of target patterns is selected to cover all possible diffraction-signature groups, such that the subset of target patterns represents at least a part of the design layout for the lithographic process. The grouping of the plurality of target patterns may be governed by predefined rules based on similarity of diffraction signature.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: September 24, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Hua-Yu Liu, Luoqi Chen, Hong Chen, Zhi-Pan Li
  • Publication number: 20130246983
    Abstract: A method of forming an IC including MOS transistors includes using a gate mask to form a first active gate feature having a line width W1 over an active area and a neighboring dummy feature having a line width 0.8 W1 to 1.3 W1. The neighboring dummy feature has a first side adjacent to the first active gate feature, and a nearest gate level feature on a second side opposite the first side. The neighboring dummy feature defines a gate pitch based on a distance to the first active gate feature or the neighboring dummy feature maintains a gate pitch in a gate array including the first active gate feature. The spacing between the neighboring dummy feature and the nearest gate level feature (i) maintains the gate pitch or (ii) provides a SRAF enabling distance that is ?2 times the gate pitch and the gate mask includes a SRAF over the SRAF distance.
    Type: Application
    Filed: May 6, 2013
    Publication date: September 19, 2013
    Applicant: Texas Instruments Incorporated
    Inventors: James Walter BLATCHFORD, Yong Seok CHOI, Thomas J. ATON
  • Publication number: 20130244427
    Abstract: One illustrative method disclosed herein involves creating an overall target pattern that includes an odd-jogged feature with a crossover region that connects first and second line portions, wherein the crossover region has a first dimension in a first direction that is greater than a second dimension that is transverse to the first direction, decomposing the overall target pattern into a first sub-target pattern and a second sub-target pattern, wherein each of the sub-target patterns comprise a line portion and a first portion of the crossover region, and generating first and second sets of mask data corresponding to the first and second sub-target patterns, respectively.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Lei Yuan, Jongwook Kye
  • Patent number: 8539393
    Abstract: Disclosed are techniques for simulating and correcting the mask shadowing effect using the domain decomposition method (DDM). According to various implementations of the invention, DDM signals for an extreme ultraviolet (EUV) lithography mask are determined for a plurality of azimuthal angles of illumination. Base on the DDM signals, one or more layout designs for making the mask may be analyzed and/or modified.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: September 17, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: James C Word, Konstantinos G Adam, Michael Lam, Sergiy Komirenko
  • Patent number: 8539390
    Abstract: The manufacturability of a lithographic mask employed in fabricating instances of a semiconductor device is determined. Target edge pairs are selected from mask layout data of the mask, for determining a manufacturing penalty in making the mask. The manufacturability of the mask, including the manufacturing penalty in making the mask, is determined based on the target edge pairs as selected, and is dependent on the manufacturing penalty in making the mask. Determining the manufacturability of the mask includes, for a selected edge pair having first and second edges that are at least substantially parallel to one another, determining a manufacturing shape penalty owing to an aspect ratio of the first edge relative to a size of a gap between the first edge and the second edge. This penalty takes into account a pair of connected edges of the first edge that are at least substantially parallel to the first edge.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Tadanobu Inoue, Alan E. Rosenbluth, Kehan Tian, David O. Melville, Masaharu Sakamoto
  • Patent number: 8539396
    Abstract: A method for creating double patterning compliant integrated circuit layouts is disclosed. The method allows patterns to be assigned to different masks and stitched together during lithography. The method also allows portions of the pattern to be removed after the process.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: September 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Hsiung Hsu, Huang-Yu Chen, Chung-Hsing Wang
  • Patent number: 8539417
    Abstract: Generating a physical circuit board design. The physical circuit board is designed based on a design data set containing multiple electronic components. In a first step, the electronic components are classified by assigning them either to a group of so-called Core Components or to a group of Application Specific Components. Subsequently, a circuit board layer structure is generated. The layer structure includes a Core Layer Structure located in the center of this layer structure. The components are placed onto the board's layer structure in such a way that the Core Components are placed onto the Core Layer Structure. Finally, a design macro of the resulting physical design is generated and the circuit board is assembled.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Harald Huels, Dieter Staiger
  • Patent number: 8538222
    Abstract: A planar lightwave circuit is provided which can be easily fabricated by an existing planar-lightwave-circuit fabrication process, which can lower the propagation loss of signal light and which can convert inputted signal light so as to derive desired signal light. A planar lightwave circuit having a core and a clad which are formed on a substrate, has input optical waveguide(s) (111) which inputs signal light, mode coupling part (112) for coupling a fundamental mode of the inputted signal light to a higher-order mode and/or a radiation mode, or mode re-coupling part (113) for re-coupling the higher-order mode and/or the radiation mode to the fundamental mode, and output optical waveguide(s) (114) which outputs signal light. The mode coupling part or the mode re-coupling part is an optical waveguide which has core width and/or height varied continuously.
    Type: Grant
    Filed: July 25, 2012
    Date of Patent: September 17, 2013
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventors: Takashi Saida, Yohei Sakamaki, Toshikazu Hashimoto, Tsutomu Kitoh, Hiroshi Takahashi, Masahiro Yanagisawa, Senichi Suzuki, Yasuhiro Hida, Motohaya Ishii, Munehisa Tamura
  • Patent number: 8539391
    Abstract: Aspects of the invention relate to techniques for determining edge fragment correlation information. With various implementations of the invention, image intensity slope information for edge fragments in a layout design is determined. The image intensity slope information comprises information describing how image intensity for each of the edge fragments changes with its position. Image amplitude sensitivity information for the edge fragments is also determined. The image amplitude sensitivity information comprises information describing how image amplitude for each of the edge fragments changes with positions of neighboring edge fragments. Based on the image intensity slope information and the image amplitude sensitivity information, edge fragment correlation information for the edge fragments is determined. Using the edge fragment correlation information, the layout design may be processed by using, for example, OPC techniques.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: September 17, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Junjiang Lei, Le Hong, Mei-Fang Shen, YiNing Pan
  • Patent number: 8539395
    Abstract: The present invention relates to customizing individual workpieces, such as chip, flat panels or other electronic devices produced on substrates, by direct writing a custom pattern. Customization can be per device, per substrate, per batch or at some other small volume that makes it impractical to use a custom mask or mask set. In particular, it relates to customizing a latent image formed in a radiation sensitive layer over a substrate, merging standard and custom pattern data to form a custom pattern used to produce the customized latent image. A wide variety of substrates can benefit from the technology disclosed.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: September 17, 2013
    Assignee: Micronic Laser Systems AB
    Inventors: Lars Ivansen, Anders Osterberg
  • Publication number: 20130239073
    Abstract: Embodiments of the present disclosure disclose a method of forming a new integrated circuit design on a semiconductor wafer using a photolithography tool. The method includes selecting a previously processed wafer having a past integrated circuit design different than the new integrated circuit design, selecting a plurality of critical dimension (CD) data points extracted from the previously processed wafer after the previously processed wafer was etched, and creating a field layout and associated baseline exposure dose map for the new integrated circuit design. The method also includes refining each field in the baseline exposure dose map based on a difference between an average CD for the previously processed wafer and an average CD for each field in the field layout and controlling the exposure of the photolithography tool according to the refined baseline exposure dose map to form the new integrated circuit design on the semiconductor wafer.
    Type: Application
    Filed: April 22, 2013
    Publication date: September 12, 2013
    Inventor: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
  • Publication number: 20130236836
    Abstract: One illustrative method disclosed herein involves identifying an overall target pattern comprised of at least one hole-type feature, decomposing the overall target pattern into at least a first sub-target pattern and a second sub-target pattern, wherein the first sub-target pattern and the second sub-target pattern each comprise at least one common hole-type feature, generating a first set of mask data information corresponding to the first sub-target pattern, and generating a second set of mask data information corresponding to the second sub-target pattern.
    Type: Application
    Filed: March 8, 2012
    Publication date: September 12, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Yuyang Sun, Norman S. Chen, Jian Liu
  • Patent number: 8533638
    Abstract: A model of defining a photoresist pattern collapse rule is provided. A portion of the photoresist pattern which corresponds to a second line pattern of a photomask layout is defined as non-collapse patterns if d?5a and c?1.5b or if 5a>d?3a and c?1.2b, wherein b is the widths of two first line patterns, c is the width of a second line pattern of the photomask layout, and a and d are distances between the second line pattern and the two first line patterns. Accordingly, a photomask layout, a semiconductor substrate and a method for improving photoresist pattern collapse for post-optical proximity correction are also provided.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: September 10, 2013
    Assignee: Nanya Technology Corporation
    Inventors: Kuo Kuei Fu, Yi Nan Chen, Hsien Wen Liu
  • Patent number: 8533639
    Abstract: The present disclosure provides an integrated circuit design method. In an example, a method includes receiving an integrated circuit design layout that includes an active region feature, a contact feature, and an isolation feature, wherein a portion of the active region feature is disposed between the contact feature and the isolation feature; determining whether a thickness of the portion of the active region feature disposed between the contact feature and the isolation feature is less than a threshold value; and modifying the integrated circuit design layout if the thickness is less than the threshold value, wherein the modifying includes adding a supplementary active region feature adjacent to the portion of the active region feature disposed between the contact feature and the isolation feature.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: September 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Mei-Hsuan Lin, Chih-Chan Lu, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang, Jen-Pan Wang
  • Patent number: 8533634
    Abstract: A method of manufacturing an exposure mask includes generating or preparing flatness variation data relating to a mask blanks substrate to be processed into an exposure mask, the flatness variation data being data relating to change of flatness of the mask blank substrate caused when the mask blank substrate is chucked by a chuck unit of an exposure apparatus, generating position correction, data of a pattern to be drawn on the mask blanks substrate based on the flatness variation data such that a mask pattern of the exposure mask comes to a predetermined position in a state that the exposure mask is chucked by the chuck unit, and drawing a pattern on the mask blanks substrate, the drawing the pattern including drawing the pattern with correcting a drawing position of the pattern and inputting drawing data corresponding to the pattern and the position correction data into a drawing apparatus.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: September 10, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 8533641
    Abstract: Systems and methods are disclosed for forming a custom integrated circuit (IC) with a first fixed (non-programmable) region on a wafer with non-customizable mask layers, wherein the first fixed region includes multiplicities of transistors and a first interconnect layer and a second interconnect layer above the first interconnect layer which form base cells; and a programmable region above the first fixed region with customizable mask layers, wherein at least one mask layer in the programmable region is coupled to the second interconnect layer which provides electrical access to all transistor nodes of the base cells and wherein the programmable region comprises a third interconnect layer coupled to the customizable mask layers to customize the IC. A second fixed region may be formed above the programmable region to provide multiple fixed regions and reduce the number of required masks in customizing the custom IC.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: September 10, 2013
    Assignee: Baysand Inc.
    Inventors: Jonathan C Park, Salah M Werfelli, WeiZhi Kang, Wan Tat Hooi, Kok Siong Tee, Jeremy Jia Jian Lee
  • Patent number: 8533637
    Abstract: Aspects of the invention relate to retargeting based on process window simulation to fix hotspots. The process window simulation is performed to generate process window information. Edge fragments are selected for retargeting. Based on the process window information, the selected edge fragments are retargeted in a balanced way.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: September 10, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: Christopher E Reid, George P Lippincott
  • Publication number: 20130232457
    Abstract: A method of efficient optical and resist parameters calibration based on simulating imaging performance of a lithographic process utilized to image a target design having a plurality of features. The method includes the steps of determining a function for generating a simulated image, where the function accounts for process variations associated with the lithographic process; and generating the simulated image utilizing the function, where the simulated image represents the imaging result of the target design for the lithographic process. Systems and methods for calibration of lithographic processes whereby a polynomial fit is calculated for a nominal configuration of the optical system and which can be used to estimate critical dimensions for other configurations.
    Type: Application
    Filed: April 8, 2013
    Publication date: September 5, 2013
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Jun YE, Yu Cao, Hanying Feng
  • Patent number: 8527917
    Abstract: A semiconductor cell for photomask data verification is disclosed that is provided in a semiconductor chip having a semiconductor integrated circuit and used for verifying photomask data of the semiconductor chip obtained by performing arithmetic processing on layout data of the semiconductor integrated circuit. The semiconductor cell for photomask data verification has the photomask data obtained by performing the arithmetic processing on the layout data of the semiconductor integrated circuit and is electrically separated from the semiconductor integrated circuit.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: September 3, 2013
    Assignee: Ricoh Company, Ltd.
    Inventor: Takayasu Hirai
  • Patent number: 8527913
    Abstract: A method for resizing a pattern to be written by using lithography technique includes calculating a first dimension correction amount of a pattern for correcting a dimension error caused by a loading effect, for each small region made by virtually dividing a writing region of a target workpiece into meshes of a predetermined size, based on an area density of the each small region, calculating a second dimension correction amount in accordance with a line width dimension of the pattern to be written in the each small region, correcting the first dimension correction amount by using the second dimension correction amount, and resizing the line width dimension of the pattern by using a corrected first dimension correction amount, and outputting a result of the resizing.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: September 3, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Jun Yashima, Junichi Suzuki, Takayuki Abe