Coating, Forming Or Etching By Sputtering Patents (Class 204/192.1)
  • Patent number: 9671137
    Abstract: The invention concerns a multilayer material comprising at least: a support having a reflectance R higher than 80% for radiations of wavelengths higher than 5 ?m, a selective layer comprising a combination of Vanadium oxides VO2 and VO2O2n+/?1, with n>1, said selective layer having an absorbance higher than 75% for radiations of wavelengths comprised between 0.4 and 2.5 ?m, regardless of the temperature T, and having, for radiations of wavelengths comprised between 6 and 10 ?m, a transmittance Tr such that: Tr>85% for T<Tc, a critical temperature, 20%?Tr?50% for T>Tc. Application to the production of thermal solar panels having a low stagnation temperature and high performance.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: June 6, 2017
    Assignees: VIESSMANN FAULQUEMONT, CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE, UNIVERSITE DE LORRAINE
    Inventors: David Mercs, Fabien Capon, Alan Corvisier
  • Patent number: 9673419
    Abstract: Provide is a display device that prevents adverse effects on pixel circuits, resulting from a process related to a sealing film, and a manufacturing method of the display device. A display device includes pixel circuits on a substrate and a sealing film having a multilayer structure on the pixel circuits. The sealing film includes a first layer being formed in contact with the pixel circuits and being made of a silicon-containing inorganic material. The first layer is a mixed film containing at least one component changing seamlessly in a stacking direction.
    Type: Grant
    Filed: August 5, 2015
    Date of Patent: June 6, 2017
    Assignee: Japan Display Inc.
    Inventor: Hiroki Ohara
  • Patent number: 9668355
    Abstract: Protective coatings, including moisture-resistant coatings, that include two or more different types of moisture-resistant materials are disclosed, as are moisture-sensitive substrates that include such protective coatings. Moisture-sensitive substrates that include different types of moisture-resistant coatings on different elements are also disclosed.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: May 30, 2017
    Assignee: HZO Inc.
    Inventors: Blake Stevens, Yang Yun
  • Patent number: 9623590
    Abstract: A fine concavo-convex structure product (10) is provided with an etching layer (11), and a resist layer (12) comprised of a heat-reactive resist material for dry etching provided on the etching layer (11), a concavo-convex structure associated with opening portions (12a) formed in the resist layer (12) is formed in the etching layer (11), a pattern pitch P of a fine pattern of the concavo-convex structure ranges from 1 nm to 10 ?m, a pattern depth H of the fine pattern ranges from 1 nm to 10 ?m, and a pattern cross-sectional shape of the fine pattern is a trapezoid, a triangle or a mixed shape thereof. The heat-reactive resist material for dry etching has, as a principal constituent element, at least one species selected from the group consisting of Cu, Nb, Sn, Mn, oxides thereof, nitrides thereof and NiBi.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: April 18, 2017
    Assignee: ASAHI KASEI E-MATERIALS CORPORATION
    Inventor: Yoshimichi Mitamura
  • Patent number: 9627695
    Abstract: An electrical conductive member (20) includes a metal substrate (21), an intermediate layer (23) formed on the metal substrate (21), and an electrical conductive layer (25) formed on the intermediate layer (23). The intermediate layer (23) contains a constituent of the metal substrate (21), a constituent of the electrical conductive layer (25), and a crystallization inhibiting component that inhibits crystallization in the intermediate layer (23). According to this configuration, the electrical conductive member having excellent electrical conductivity and resistance to corrosion can be obtained.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: April 18, 2017
    Assignee: NISSAN MOTOR CO., LTD.
    Inventors: Tomokatsu Himeno, Keisuke Yamamoto, Atsushi Miyazawa, Motoki Yaginuma
  • Patent number: 9627187
    Abstract: A sputtering apparatus includes a deposition preventing plate arranged between a substrate stage and a plurality of cathode electrodes, and a shutter plate arranged between the deposition preventing plate and the substrate stage. The deposition preventing plate has holes at positions respectively facing a plurality of targets held by the plurality of cathode electrodes. Concentric concavo-convex shapes centered on the rotation axis of the shutter plate are formed on surfaces, that face each other, of the deposition preventing plate and the shutter plate.
    Type: Grant
    Filed: September 12, 2014
    Date of Patent: April 18, 2017
    Assignee: CANON ANELVA CORPORATION
    Inventor: Shigenori Ishihara
  • Patent number: 9570416
    Abstract: A plurality of microelectronic assemblies are made by severing an in-process unit including an upper substrate and lower substrate with microelectronic elements disposed between the substrates. In a further embodiment, a lead frame is joined to a substrate so that the leads project from this substrate. Lead frame is joined to a further substrate with one or more microelectronic elements disposed between the substrates.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: February 14, 2017
    Assignee: Tessera, Inc.
    Inventors: Belgacem Haba, Craig S. Mitchell, Masud Beroz
  • Patent number: 9564299
    Abstract: In various embodiments, joined sputtering targets are formed at least in part by spray deposition of the sputtering material and/or welding.
    Type: Grant
    Filed: February 12, 2016
    Date of Patent: February 7, 2017
    Assignee: H.C. Starck, Inc.
    Inventors: Scott Jeffrey Volchko, William Loewenthal, Stefan Zimmermann, Mark Gaydos, Steven Alfred Miller
  • Patent number: 9559349
    Abstract: A method of fabricating a 3D porous electrode architecture comprises forming a microbattery template that includes (a) a lattice structure comprising a first lattice portion separated from a second lattice portion on a substrate, and (b) a solid structure on the substrate including a separating portion between the first and second lattice portions. Interstices of the first lattice portion are infiltrated with a first conductive material and interstices of the second lattice portion are infiltrated with a second conductive material. Each of the first and second conductive materials fill the interstices to reach a predetermined thickness on the substrate. The solid structure and the lattice structure are removed from the structure, thereby forming first and second conductive scaffolds comprising a porosity defined by the lattice structure and having a lateral size and shape defined by walls of the solid structure.
    Type: Grant
    Filed: July 1, 2014
    Date of Patent: January 31, 2017
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: Paul V. Braun, Hailong Ning, Kevin A. Arpin
  • Patent number: 9556509
    Abstract: A method, comprising: generating a vapor of a material from a source of said material comprising a plurality of separate solid pieces of said material supported on a surface of a base in a configuration in which said plurality of solid pieces of said target material are arranged at two or more levels to cover the whole of said surface of said base while providing a gap between adjacent pieces at the same level; and depositing said material from said vapor onto a substrate.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: January 31, 2017
    Assignee: FLEXENABLE LIMITED
    Inventors: Ricardo Mikalo, Jens Dienelt
  • Patent number: 9520207
    Abstract: Both single phase lead-free cubic pyrochlore bismuth zinc niobate (BZN)-based dielectric materials with a chemical composition of Bi1.5Zn(0.5+y)Nb(1.5?x)Ta(x)O(6.5+y), with 0?x<0.23 and 0?y<0.9 and films with these average compositions with Bi2O3 particles in an amorphous matrix and a process of manufacture thereof. The crystalline BZNT-based dielectric material has a relative permittivity of at least 120, a maximum applied electric field of at least 4.0 MV/cm at 10 kHz, a maximum energy storage at 25° C. and 10 kHz of at least 50 J/cm3 and a maximum energy storage at 200° C. and 10 kHz of at least 22 J/cm3. The process is a wet chemical process that produces thin films of Bi1.5Zn(0.5+y)Nb(1.5?x)Ta(x)O(6.5+y) without the use of 2-methoxyethanol and pyridine.
    Type: Grant
    Filed: May 11, 2015
    Date of Patent: December 13, 2016
    Assignees: The Penn State University, National Science Foundation
    Inventors: Elizabeth K. Michael, Susan Trolier-McKinstry
  • Patent number: 9520288
    Abstract: It is an object to provide a thin film transistor having favorable electric characteristics and high reliability and a semiconductor device which includes the thin film transistor as a switching element. An In—Ga—Zn—O-based film having an incubation state that shows an electron diffraction pattern, which is different from a conventionally known amorphous state where a halo shape pattern appears and from a conventionally known crystal state where a spot appears clearly, is formed. The In—Ga—Zn—O-based film having an incubation state is used for a channel formation region of a channel etched thin film transistor.
    Type: Grant
    Filed: May 28, 2015
    Date of Patent: December 13, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Akiharu Miyanaga, Junichiro Sakata, Masayuki Sakakura, Shunpei Yamazaki
  • Patent number: 9508522
    Abstract: An x-ray generator includes a housing, a cathode block that is arranged in the housing and emits electrons via a field emission scheme, an anode block that is arranged in the housing and generates x-rays in response to the electrons emitted from the cathode block and collide with the anode block, and a heat sink block that contacts the cathode block and dissipates heat generated therein to an outside of the housing.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: November 29, 2016
    Assignees: SAMSUNG ELECTRONICS CO., LTD., SRI INTERNATIONAL
    Inventors: Shang-hyeun Park, Paul R. Schwoebel, Il-hwan Kim, Do-yoon Kim, Yong-chul Kim, Chang-soo Lee, Tae-won Jeong
  • Patent number: 9499902
    Abstract: A plastic substrate has a porous layer on a surface. The porous layer is formed at least partially from a material of the plastic substrate and has pores. The proportion by volume of pores is greater in a first region of the porous layer than in a second region of the porous layer. The second region follows the first region, as seen proceeding from the plastic substrate. The porous layer can be produced by a plasma process that simultaneously effects structuring of the plastic substrate by ion bombardment and coating of the plastic substrate.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: November 22, 2016
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V.
    Inventors: Ulrike Schulz, Peter Munzert, Matthias Fahland, Waldemar Schoenberger
  • Patent number: 9502220
    Abstract: A plasma processing apparatus that performs plasma processing on a substrate held on a transport carrier including an annular frame and a holding sheet. The apparatus includes: a process chamber; a plasma excitation device that generates plasma; a stage in the chamber; a cooling mechanism for cooling the stage; a cover that partly covers the holding sheet and the frame and has a window section through which the substrate is partly exposed to plasma; and a movement device that moves a relative position of the cover to the frame. The cover has a roof section, a cylindrical circumferential side section extending from a circumferential edge of the roof section toward the stage, and a correction member that protrudes from the roof section and/or the circumferential side section toward the frame and presses the frame onto the stage to correct warpage of the frame.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: November 22, 2016
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Shogo Okita, Bunji Mizuno, Tomohiro Okumura
  • Patent number: 9496411
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a first insulating film over a first gate electrode over a substrate while heated at a temperature higher than or equal to 450° C. and lower than the strain point of the substrate, forming a first oxide semiconductor film over the first insulating film, adding oxygen to the first oxide semiconductor film and then forming a second oxide semiconductor film over the first oxide semiconductor film, and performing heat treatment so that part of oxygen contained in the first oxide semiconductor film is transferred to the second oxide semiconductor film.
    Type: Grant
    Filed: May 22, 2015
    Date of Patent: November 15, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Tetsuhiro Tanaka, Masayuki Sakakura, Ryo Tokumaru, Yasumasa Yamane, Yuhei Sato
  • Patent number: 9490102
    Abstract: The device includes at least two coaxial waveguides each formed of a central conductor and of an external conductor to bring microwaves into a treatment chamber. The at least two electromagnetic wave injection guides are combined with a magnetic circuit elongated in one direction. The magnetic circuit surrounding the waveguides by creating a magnetic field capable of achieving an ECR condition close to the waveguides.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: November 8, 2016
    Assignee: H.E.F.
    Inventors: Beat Schmidt, Christophe Heau, Philippe Maurin-Perrier
  • Patent number: 9455121
    Abstract: A semiconductor inspection system including an ion beam milling unit configured to irradiate at least one cluster-ion beam onto a surface of a sample wafer and etch the surface of the sample wafer and an image acquisition unit configured to irradiate an electron beam onto the etched surface of the sample wafer and acquire an image of the etched surface may be provided.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: September 27, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyunwoo Kim, Wooseok Ko, Minkook Kim, Jung Hwan Kim, Yusin Yang, Sangkil Lee, Chungsam Jun
  • Patent number: 9449799
    Abstract: A film deposition device is disclosed. The device includes a driver, a sputtering target assembly, and at least one rotatable magnetoelectric device located at the back of the sputtering target assembly. The rotatable magnetoelectric device includes a transmission having a conveyor belt, and at least one pair of gears which cooperate with the conveyor belt and are disposed at an inner side of the conveyor belt, where an axial direction of the gears is substantially parallel to a surface of the sputtering target assembly. The rotatable magnetoelectric device also includes a first set of magnets, where the first set of magnets are disposed outside of the conveyor belt. In addition, the driver is configured to cause the gears to rotate.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: September 20, 2016
    Assignees: SHANGHAI TIANMA AM-OLED CO., LTD., TIANMA MICRO-ELECTRONICS CO., LTD.
    Inventors: Qiang Fei, Weiqi Xu
  • Patent number: 9418693
    Abstract: An embodiment of the invention relates to a perpendicular magnetic recording medium comprising (1) a substrate, (2) an interlayer comprising hexagonal columns and (3) a magnetic layer, wherein the magnetic layer is deposited applying a bias voltage to the substrate such that the magnetic layer comprises magnetic grains having substantially no sub-grains within the magnetic layer, and the magnetic layer has perpendicular magnetic anisotropy.
    Type: Grant
    Filed: March 30, 2015
    Date of Patent: August 16, 2016
    Assignee: SEAGATE TECHNOLOGY LLC
    Inventors: Weilu Xu, Miaogen Lu, Mariana Rodica Munteanu, Michael Z. Wu, Shanghsien A. Rou, Steve Kuo-Hsing Hwang, Edward T. Yen
  • Patent number: 9416461
    Abstract: A mold includes an anodized porous alumina layer over its surface. The anodized porous alumina layer has a plurality of first and second recessed portions. The plurality of second recessed portions have a two-dimensional size of not less than 190 nm and not more than 50 ?m when viewed in a direction normal to the mold surface and have a plurality of minute recessed portions over its inner surface. The plurality of minute recessed portions have a two-dimensional size of not less than 10 nm and not more than 200 nm; and have a two-dimensional size of not less than 10 nm and not more than 200 nm. The plurality of first recessed portions are provided between the plurality of second recessed portions. The average value of the two-dimensional size of the plurality of second recessed portions is greater than that of the plurality of first recessed portions.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: August 16, 2016
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Chiaki Minari, Tokio Taguchi
  • Patent number: 9406617
    Abstract: Structures and processes include a single metallization step for forming a metal nitride liner layer suitable for contact formation. The structure and processes generally includes forming a nitrogen-enriched surface in a deposited metal liner layer or forming a nitrogen-enriched surface in the dielectric material prior to deposition of the metal liner layer. In this manner, nitridization of the metal occurs upon deposition of nitrogen ions into the metal liner layer and/or as a function of additional conventional processing in fabricating the integrated circuit such that the deposited nitrogen ions diffuse into at least a portion of the metal liner layer. As a consequence, only a single metal layer deposition step is needed to form the metal liner layer.
    Type: Grant
    Filed: November 19, 2015
    Date of Patent: August 2, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel C. Edelstein, Baozhen Li, Chih-Chao Yang
  • Patent number: 9340428
    Abstract: The present application relates to a method of increasing density of aligned carbon nanotubes. Firstly, aligned carbon nanotubes grown on a substrate is transferred to a stretched retractable film. The retractable film is then shrunk along a direction which is perpendicular to the alignment direction of the carbon nanotubes to obtain high density carbon nanotubes. The array of aligned carbon nanotubes is finally transferred from the retractable film to a target substrate. The disclosed method can efficiently obtain high-density high-quality aligned carbon nanotubes at low cost.
    Type: Grant
    Filed: August 7, 2014
    Date of Patent: May 17, 2016
    Assignee: Peking University
    Inventors: Jia Si, Zhiyong Zhang, Lianmao Peng
  • Patent number: 9312111
    Abstract: A mass spectrometer system having: a primary ion source capable of irradiating a segment on a planar sample with a beam of primary ions, an orthogonal ion mass-to-charge ratio, the analyzer being configured to separate secondary elemental atomic ions according to their mass-to-charge ratio by time of flight; an ion detector for detecting secondary elemental atomic ions and producing mass spectra measurements; and a synchronizer. In the system, the beam of primary ions scans across the planar sample in two dimensions and the synchronizer associates the mass spectra measurements with positions on the planar sample.
    Type: Grant
    Filed: March 30, 2015
    Date of Patent: April 12, 2016
    Assignee: The Board of Trustees of the Leland Stanford Junior University
    Inventors: Sean C. Bendall, Robert M. Angelo, Garry P. Nolan
  • Patent number: 9287496
    Abstract: In a method for fabricating a magnetic tunnel junction, a first magnetic layer is formed on a substrate, and a tunnel insulating layer is formed on the first magnetic layer. Subsequently, a second magnetic layer is formed on the tunnel insulating layer. In the method, the first magnetic layer is formed by periodically sputtering a magnetic target while a metal target is continuously sputtered.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: March 15, 2016
    Assignee: SK Hynix Inc.
    Inventor: Won Joon Choi
  • Patent number: 9269545
    Abstract: The invention relates to a vacuum arc source (1), including ring-like magnetic field source (2) and a cathode body (3) with an vaporization material (31) as a cathode (32) for the production of an arc discharge on an vaporization surface (33) of the cathode (32). In this arrangement the cathode body (3) is bounded in an axial direction in a first axial direction by a cathode base (34) and in a second axial direction by the vaporization surface (33) and the ring-like magnetic (2) is arranged polarised parallel or anti-parallel and concentric to a surface normal (300) of the vaporization surface (33). In accordance with the invention a magnetic field enhancement ring (4) is arranged on a side remote from the vaporization surface (33) at a pre-determinable second spacing (A2) in front of the cathode base (34). The invention further relates to an arc vaporization chamber (10) with an arc vaporization source (1).
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: February 23, 2016
    Assignee: OERLIKON SURFACE SOLUTIONS AG, TRUEBBACH
    Inventor: Joerg Vetter
  • Patent number: 9217722
    Abstract: The invention relates to “solid state” chemiresistor sensors with electric control of the affinity of a chemosensitive material. The configurations of the present invention enable the fast regeneration of the sensor after analyte binding, which therefore increases selectivity. In one embodiment the chemiresistor sensor is implemented as a multi-electrode chemiresistor, comprising 4 electrodes for the separate measurement of the resistance of the chemosensitive material and the contact resistance, and 2 further electrodes to control the redox state of the chemosensitive sensor material, thereby facilitating fast and effective regeneration of the sensor.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: December 22, 2015
    Assignee: Brandenburgische Technische Universitaet Cottbus- Senftenberg
    Inventors: Vladimir Mirsky, Ulrich Lange
  • Patent number: 9206500
    Abstract: Method and apparatus for processing a substrate with an energetic particle beam. Features on the substrate are oriented relative to the energetic particle beam and the substrate is scanned through the energetic particle beam. The substrate is periodically indexed about its azimuthal axis of symmetry, while shielded from exposure to the energetic particle beam, to reorient the features relative to the major dimension of the beam.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: December 8, 2015
    Inventors: Boris Druz, Roger P. Fremgen, Jr., Alan V. Hayes, Viktor Kanarov, Robert Krause, Ira Reiss, Piero Sferlazzo
  • Patent number: 9206532
    Abstract: A method for manufacturing a plurality of nanostructures (101) on a substrate (102). The method comprises the steps of: depositing a bottom layer (103) on an upper surface of the substrate (102), the bottom layer (103) comprising grains having a first average grain size; depositing a catalyst layer (104) on an upper surface of the bottom layer (103), the catalyst layer (104) comprising grains having a second average grain size different from the first average grain size, thereby forming a stack of layers comprising the bottom layer (103) and the catalyst layer (104); heating the stack of layers to a temperature where nanostructures (101) can form; and providing a gas comprising a reactant such that the reactant comes into contact with the catalyst layer (104).
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: December 8, 2015
    Assignee: Smoltek AB
    Inventor: Mohammad Shafiqul Kabir
  • Patent number: 9147558
    Abstract: Shutter disks for use in process chambers are provided herein. In some embodiments, a shutter disk for use in a process chamber may include a body having an outer perimeter, a top surface of the body, wherein the top surface includes a central portion having a substantially horizontal planar surface, and at least one angled structure disposed radially outward of the central portion, each of the at least one angled structure having a top portion and an angled surface disposed at a downward angle in a radially outward direction from the top portion toward the outer perimeter, and a bottom surface of the body.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: September 29, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bonnie T. Chia, Song-Moon Suh, Cheng-Hsiung Matthew Tsai, Robert Dinsmore, Glen T. Mori
  • Patent number: 9114541
    Abstract: In an edge tool having a cutting edge made of a nonmetal inorganic solid-state material with a facet formed on a ridge of the cutting edge, a pre-wearing layer having a thickness of 5 nm or more and having a surface structure formed by a network of recesses and protuberances surrounded by the recesses is formed on a surface of the facet. The average width of the protuberances is 5 nm to 50 nm. A property value of the pre-wearing layer is different from the property value of the inorganic solid-state material underlying the pre-wearing layer, and there is no solid-solid interface between the pre-wearing layer and the inorganic solid-state material.
    Type: Grant
    Filed: October 15, 2013
    Date of Patent: August 25, 2015
    Assignee: JAPAN AVIATION ELECTRONICS INDUSTRY, LIMITED
    Inventors: Akinobu Sato, Akiko Suzuki
  • Patent number: 9111738
    Abstract: System and method for operating an ionizer using a combination of amplitude modulation and pulse width modulation to control the plasma temperature and the type of ions needed for analytic equipment. Ion density can be controlled by the repetition rate. The ionizer may utilize a non-radioactive ionization source, and be coupled to a differential mobility spectroscopy (DMS) analyzer.
    Type: Grant
    Filed: January 17, 2013
    Date of Patent: August 18, 2015
    Assignee: PEN Inc.
    Inventors: Alexei Tikhonski, Leif Thuesen
  • Patent number: 9061479
    Abstract: The present invention provides a low-friction coating layer for vehicle components comprising: a Ti layer on a surface of a base material; a TiN layer on the Ti layer surface; a TiAgN layer on the TiN layer surface; and an Ag layer transferred on the TiAgN layer surface, and a method for producing the same.
    Type: Grant
    Filed: October 7, 2014
    Date of Patent: June 23, 2015
    Assignee: Hyundai Motor Company
    Inventors: Kwang Hoon Choi, In Woong Lyo, Woong Pyo Hong, Hyuk Kang
  • Publication number: 20150145816
    Abstract: A substrate is provided with a transparent electrode in which the pattern is hardly visible even when the transparent electrode layer has been patterned, and a method for manufacturing thereof is provided. On at least one of the surfaces of a transparent film, a first, second, and third dielectric material layer, and a patterned transparent electrode layer are included, in this order, each preferably having a film thickness and refractive index within a specific range. The first and third dielectric material layers are silicon oxide layers containing SiOx and SiOv as main components, respectively. The second dielectric material layer is a metal oxide layer containing a metal oxide. The transparent electrode layer is a conductive metal oxide layer containing an indium-tin composite oxide as a main component. The refractive indexes of the first (n1), second (n2), and third (n3) dielectric material layers satisfy the relationship n3<n1<n2.
    Type: Application
    Filed: January 29, 2013
    Publication date: May 28, 2015
    Inventors: Hiroaki Ueda, Kozo Kondo, Kazuhisa Danno
  • Publication number: 20150147678
    Abstract: [Object] To provide a titanium or titanium alloy material for a separator of a polymer electrolyte fuel cell having high contact conductivity with carbon and high durability. [Solution] The titanium or titanium alloy material includes an oxide film formed on a titanium or titanium alloy substrate by stabilization treatment performed after passivation treatment, and one or more kinds of conductive materials selected from carbide, nitride, carbonitride, and boride of tantalum, titanium, vanadium, zirconium, and chromium, the conductive materials being dispersed in the oxide film and having a major axis diameter from 1 nm to 100 nm. A contact resistance value with a carbon paper is 20 m?·cm2 or less at a surface pressure of 10 kgf/cm2 before and after an accelerated deterioration test in which the titanium or titanium alloy material is immersed in a sulfuric acid aqueous solution having an adjusted pH of 4 at 80° C. for four days.
    Type: Application
    Filed: July 30, 2013
    Publication date: May 28, 2015
    Applicant: NIPPON STEEL & SUMITOMO METAL CORPORATION
    Inventors: Hiroshi Kihira, Yuuichi Yosida, Taku Kagawa, Takumi Nishimoto, Koki Tanaka, Masao Kimura, Kiyonori Tokuno, Kazuhiro Takahashi, Takashi Domoto
  • Patent number: 9039872
    Abstract: A method for producing a transparent and conductive metal oxide layer on a substrate, includes atomizing at least one component of the metal oxide layer by highly ionized, high power pulsed magnetron sputtering to condense on the substrate. The pulses of the magnetron have a peak power density of more than 1.5 kW/cm2, the pulses of the magnetron have a duration of ?200 ?s, and the average increase in current density during ignition of the plasma within an interval, which is ?0.025 ms, is at least 106 A/(ms cm2).
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: May 26, 2015
    Assignee: Fraunhofer-Gesellschaft zur Foerderung der Angewandten Forschung E.V.
    Inventors: Felix Horstmann, Volker Sittinger, Bernd Szyszka
  • Publication number: 20150140271
    Abstract: The invention provides an optical device and manufacture thereof. The optical device of the invention includes a transparent substrate, a seeding layer, a plurality of nano-rods and a protection layer. The seeding layer is formed to overlay an entrance surface and an exit surface of the transparent substrate. The plurality of nano-rods are formed on the seeding layer. The protection layer is formed to completely overlay the plurality of nano-rods.
    Type: Application
    Filed: November 21, 2014
    Publication date: May 21, 2015
    Inventors: MIIN-JANG CHEN, WEN-CHING HSU
  • Publication number: 20150136583
    Abstract: A device (2; 2I; 2II; 2IV; 2V; 2VI; 2VII; 2VIII) for generating plasma and for directing an electron beam towards a target (3); the device (2; 2I; 2II; 2IV; 2V; 2VI; 2VII; 2VIII) comprises a hollow element (5); an activation group (21), which is designed to impose a difference in potential between the hollow element (5) and another element which is separate from it, in such a way as to direct the electron beam towards said separate element; and a de Laval nozzle (23), having at least one tapered portion (13), which is tapered towards the separate element and is designed to accelerate a gas flow towards the separate element.
    Type: Application
    Filed: June 11, 2013
    Publication date: May 21, 2015
    Applicant: NOIVION S.R.L.
    Inventor: Libuse Skocdopolova
  • Publication number: 20150136584
    Abstract: In various embodiments, tubular sputtering targets comprising molybdenum are provided and sputtered to produce thin films comprising molybdenum. The sputtering targets may be formed by forming a tubular billet having an inner diameter IDI and an outer diameter ODI, the formation comprising pressing molybdenum powder in a mold and sintering the pressed molybdenum powder, working the tubular billet to form a worked billet having an outer diameter ODf smaller than ODI, and heat treating the worked billet. The sputtering targets may have a substantially uniform texture of (a) a 110 orientation parallel to a longitudinal direction and (b) a 111 orientation parallel to a radial direction.
    Type: Application
    Filed: January 29, 2015
    Publication date: May 21, 2015
    Inventors: Brad Lemon, Joseph Hirt, Timothy Welling, James G. Daily, III, David Meendering, Gary Rozak, Jerome O'Grady, Prabhat Kumar, Steven A. Miller, Rong-chein Richard Wu, David G. Schwartz
  • Publication number: 20150129089
    Abstract: A hydrogen-free amorphous dielectric insulating film having a high material density and a low density of tunneling states is provided. The film is prepared by e-beam deposition of a dielectric material on a substrate having a high substrate temperature Tsub under high vacuum and at a low deposition rate. In an exemplary embodiment, the film is amorphous silicon having a density greater than about 2.18 g/cm3 and a hydrogen content of less than about 0.1%, prepared by e-beam deposition at a rate of about 0.1 nm/sec on a substrate having Tsub=400° C. under a vacuum pressure of 1×10?8 Torr.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 14, 2015
    Applicant: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Xiao Liu, Daniel R. Queen, Frances Hellman
  • Publication number: 20150132473
    Abstract: Solid state thermoelectric energy conversion devices can provide electrical energy from heat flow, creating energy, or inversely, provide cooling through applying energy. Thick film methods are applied to fabricate thermoelectric device structures using microstructures formed through deposition and subsequent thermal processing conditions. An advantageous coincidence of material properties makes possible a wide variety of unique microstructures that are easily applied for the fabrication of device structures in general. As an example, a direct bond process is applied to fabricate thermoelectric semiconductor thick films on substrates by printing and subsequent thermal processing to form unique microstructures which can be densified. Bismuth and antimony telluride are directly bonded to flexible nickel substrates.
    Type: Application
    Filed: January 16, 2015
    Publication date: May 14, 2015
    Inventor: Ronald R. Petkie
  • Publication number: 20150126681
    Abstract: A method of manufacturing an organic-inorganic composite film is provided. The method includes co-sputtering an inorganic target and a fluorine-containing organic polymer target, thereby simultaneously depositing atoms from the inorganic target and atoms from the fluorine-containing organic polymer target on a substrate. As such, an organic-inorganic composite film is obtained. The organic-inorganic composite film includes a homogeneous, amorphous, and nonporous material composed of carbon, fluorine and/or chlorine, oxygen and/or nitrogen, and inorganic element M. The inorganic element M forms chemical bondings with carbon, fluorine, chlorine, oxygen and/or nitrogen, and wherein the bond length forms therefore is less than 2.78 ?.
    Type: Application
    Filed: January 6, 2015
    Publication date: May 7, 2015
    Inventors: Lik-Hang CHAU, Chih-Hao LIANG, Hsi-Hsin SHIH, Chih-Chao YANG, Yi-Tsung PAN
  • Publication number: 20150124447
    Abstract: Certain example embodiments of this invention relate to heatable glass substrates that may be used in connection with lighting applications, and/or methods of making the same. In certain example embodiments, a glass substrate supports an antireflective (AR) coating on a first major surface thereof, and a conductive coating on a second, opposite major surface thereof. Bus bars connect the conductive coating to a power source in certain example embodiments. The substrate may be heat treated (e.g., heat strengthened and/or thermally tempered), with one or both coatings thereon. The heatable glass substrate thus may help provide a chemical and/or environmental barrier for the luminaire or lighting system disposed behind it. In addition, or in the alternative, the heatable glass substrate may help reduce the amount of moisture (e.g., snow, rain, ice, fog, etc.) that otherwise could accumulate on the luminaire or lighting system.
    Type: Application
    Filed: January 8, 2015
    Publication date: May 7, 2015
    Inventors: David P. MAIKOWSKI, David D. MCLEAN, Timothy BOURQUE, Christopher HOBBS
  • Publication number: 20150125640
    Abstract: A manufacturing method that forms a multilayer thin film on the inner surface of a housing forming a transparent appearance of an electronic product to provide a deep metal texture and an electronic product having a metal texture provided at the inner surface of the housing. The multilayer thin film manufacturing method includes reforming an inner surface of a housing having an outer surface and the inner surface through plasma processing, depositing at least one hardness reinforcement layer on the inner surface, and depositing a color layer on the hardness reinforcement layer.
    Type: Application
    Filed: October 31, 2014
    Publication date: May 7, 2015
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seo Joon LEE, Jin Sub KIM, Hyong Jun YOO, Min Chul JUNG, Jin Hyun CHO
  • Publication number: 20150115071
    Abstract: There is provided an oil supply nozzle including a micro-needle, and a needle holder to which the micro-needle is inserted and fixed with an adhesive, wherein the needle holder includes a coupling hole formed to allow the needle holder to be inserted into an oil injection apparatus, and an injection hole connected to the coupling hole and having the micro-needle inserted thereinto, and the insertion hole and the coupling hole have a guide hole at a point of connection therebetween.
    Type: Application
    Filed: February 6, 2014
    Publication date: April 30, 2015
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Min Chul Go, Chun Mo Sung
  • Publication number: 20150115771
    Abstract: An elastic wave device includes a piezoelectric substrate including a primary surface and a first electrode which is provided on the primary surface of the piezoelectric substrate, which includes a first multilayer metal film including at least three metal films laminated in a bottom-to-top direction, and which includes at least an IDT film. The first multilayer metal film includes a Ti film as the topmost film and has a crystal orientation oriented in a predetermined direction so that the normal line direction of the plane of a Ti crystal of the Ti film coincides with the Z axis of a crystal of a piezoelectric body defining the piezoelectric substrate.
    Type: Application
    Filed: October 9, 2014
    Publication date: April 30, 2015
    Inventor: Chihiro KONOMA
  • Publication number: 20150108083
    Abstract: Systems and methods are provided for fabricating a thin film capacitor involving depositing an electrode layer of conductive material on top of a substrate material, depositing a first layer of ferroelectric material on top of the substrate material using a metal organic deposition or chemical solution deposition process, depositing a second layer of ferroelectric material on top of the first layer using a high temperature sputter process and depositing a metal interconnect layer to provide electric connections to layers of the capacitor.
    Type: Application
    Filed: September 24, 2014
    Publication date: April 23, 2015
    Inventors: Marina Zelner, Mircea Capanu, Susan C. Nagy
  • Publication number: 20150111063
    Abstract: This invention relates generally to an article that includes a base substrate, an intermediate layer including at least one element or compound selected from titanium, chromium, indium, zirconium, tungsten, and titanium nitride on the base substrate, and a hydrophobic coating on the base substrate, wherein the hydrophobic coating includes a rare earth element material (e.g., a rare earth oxide, a rare earth carbide, a rare earth nitride, a rare earth fluoride, and/or a rare earth boride). An exposed surface of the hydrophobic coating has a dynamic contact angle with water of at least about 90 degrees. A method of manufacturing the article includes providing the base substrate and forming an intermediate layer coating on the base substrate (e.g., through sintering or sputtering) and then forming a hydrophobic coating on the intermediate layer (e.g., through sintering or sputtering).
    Type: Application
    Filed: October 30, 2014
    Publication date: April 23, 2015
    Inventors: Sami Khan, Gisele Azimi, Adam T. Paxson, Kripa K. Varanasi
  • Patent number: 9012024
    Abstract: The invention relates to a glazing comprising a transparent glass substrate containing ions of at least one alkali metal and a transparent layer made of silicon oxycarbide (SiOxCy) having a total thickness E with (a) a carbon-rich deep zone, extending from a depth P3 to a depth P4, where the C/Si atomic ratio is greater than or equal to 0.5, and (b) a carbon-poor surface zone, extending from a depth P1 to a depth P2, where the C/Si atomic ratio is less than or equal to 0.4, with P1<P2<P3<P4 and (P2?P1)+(P4?P3)<E the distance between P1 and P2 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer and the distance between P3 and P4 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: April 21, 2015
    Assignee: Saint-Gobain Glass France
    Inventors: Claire Thoumazet, Martin Melcher, Arnaud Huignard, Raphael Lante
  • Publication number: 20150104569
    Abstract: Provided is High Productivity Combinatorial (HPC) testing methodology of semiconductor substrates, each including multiple site isolated regions. The site isolated regions are used for testing different compositions and/or structures of barrier layers disposed over silver reflectors. The tested barrier layers may include all or at least two of nickel, chromium, titanium, and aluminum. In some embodiments, the barrier layers include oxygen. This combination allows using relative thin barrier layers (e.g., 5-30 Angstroms thick) that have high transparency yet provide sufficient protection to the silver reflector. The amount of nickel in a barrier layer may be 5-10% by weight, chromium—25-30%, titanium and aluminum—30%-35% each. The barrier layer may be co-sputtered in a reactive or inert-environment using one or more targets that include all four metals. An article may include multiple silver reflectors, each having its own barrier layer.
    Type: Application
    Filed: December 18, 2014
    Publication date: April 16, 2015
    Inventors: Guizhen Zhang, Jeremy Cheng, Guowen Ding, Minh Huu Le, Daniel Schweigert, Yu Wang