Plasma Generating Patents (Class 315/111.21)
  • Patent number: 11361942
    Abstract: Systems and methods for adjusting power and frequency based on three or more states are described. One of the methods includes receiving a pulsed signal having multiple states. The pulsed signal is received by multiple radio frequency (RF) generators. When the pulsed signal having a first state is received, an RF signal having a pre-set power level is generated by a first RF generator and an RF signal having a pre-set power level is generated by a second RF generator. Moreover, when the pulsed signal having a second state is received, RF signals having pre-set power levels are generated by the first and second RF generators. Furthermore, when the pulsed signal having a third state is received, RF signals having pre-set power levels are generated by the first and second RF generators.
    Type: Grant
    Filed: April 13, 2020
    Date of Patent: June 14, 2022
    Assignee: Lam Research Corporation
    Inventors: John C. Valcore, Jr., Bradford J. Lyndaker
  • Patent number: 11355317
    Abstract: Plasma is generated in a semiconductor process chamber by a plurality of microwave inputs with slow or fast rotation. Radial uniformity of the plasma is controlled by regulating the power ratio of a center-high mode and an edge-high mode of the plurality of microwave inputs into a microwave cavity. The radial uniformity of the generated plasma in a plasma chamber is attained by adjusting the power ratio for the two modes without inputting time-splitting parameters for each mode.
    Type: Grant
    Filed: December 13, 2018
    Date of Patent: June 7, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Satoru Kobayashi, Lance Scudder, David Britz, Soonam Park, Dmitry Lubomirsky, Hideo Sugai
  • Patent number: 11340675
    Abstract: Systems, methods, and media for providing power to an HDMI source are provided. In accordance with some implementations, methods for providing power to an HDMI source are provided, the methods comprising: providing an AC signal to an HPD line of an HDMI port; determining that an L-C circuit is present on the HPD line when applying the AC signal; and in response to determining that an L-C circuit is present on the HPD line, connecting a power source to an HDMI 5 V line of the HDMI port. In some implementations, the AC signal is a 231 kHz waveform. In some implementations, the AC signal is generated using a clock output. In some implementations, the methods further comprise integrating the clock output to provide the AC signal. In some implementations, the methods further comprise switching the frequency of the AC signal.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: May 24, 2022
    Assignee: Google LLC
    Inventor: Maurice Alou
  • Patent number: 11335539
    Abstract: A method for optimizing delivery of power to a plasma chamber is described. The method includes dividing each cycle of a low frequency (LF) radio frequency generator (RFG) into multiple time intervals. During each of the time intervals, a frequency offset of a high frequency (HF) RFG is generated for which the delivery of power is maximized. The frequency offsets provide a substantially inverse relationship compared to a voltage signal of the LF RFG for each cycle of the voltage signal. The frequency offsets for the time intervals are multiples of the low frequency. The substantially inverse relationship facilitates an increase in the delivery of power to the electrode. A total range of the frequency offsets from a reference HF frequency over the LF RF cycle depends on a power ratio of power that is supplied by the LF RFG and power that is supplied by the HF RFG.
    Type: Grant
    Filed: September 28, 2018
    Date of Patent: May 17, 2022
    Assignee: Lam Research Corporation
    Inventors: Ranadeep Bhowmick, John Holland, Felix Leib Kozakevich, Bing Ji, Alexei Marakhtanov
  • Patent number: 11276591
    Abstract: An inside of a processing vessel is set to be in a vacuum atmosphere when a substrate processing is performed. A sealing member is provided with a pipe-shaped cavity formed between a low-temperature region having a relatively low temperature and a high-temperature region having a relatively high temperature when the substrate processing is performed. The sealing member is configured to seal the processing vessel.
    Type: Grant
    Filed: September 6, 2019
    Date of Patent: March 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Satoshi Suzuki
  • Patent number: 11251021
    Abstract: A plasma processing apparatus includes a plasma processing chamber, a coil having an uncoiled length L disposed adjacent to the plasma processing chamber, and a plurality of retractable conductors each configured to make electrical contact with the coil in an extended position. A first tap position is located substantially at a distance L/2 measured from a first end along the coil, a second tap position neighboring the first tap position and located substantially at the distance L/2 measured from the first end along the coil, and a third tap position located substantially at the first end of the coil. A controller is configured to operate the plasma processing apparatus in a first operating mode to sustain an inductively coupled plasma and in a second operating mode to sustain a capacitively coupled plasma using subsets of the retractable conductors in the extended position.
    Type: Grant
    Filed: January 29, 2021
    Date of Patent: February 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Peter Ventzek, Alok Ranjan, Mitsunori Ohata, Michael Hummel
  • Patent number: 11251027
    Abstract: A stage device for holding a substrate in a processing apparatus for processing the substrate includes a stage, a stage rotating mechanism, and a cold heat transfer mechanism. The stage is configured to hold the substrate in a processing chamber. The stage rotating mechanism includes a rotation shaft extending downward from a center of a bottom surface of the stage and a motor configured to rotate the stage via the rotation shaft. The cold heat transfer mechanism includes at least one cold heat transfer body that is fixedly disposed at a position spaced away from the rotation shaft below the stage and is configured to transfer cold heat of a chiller. The cold heat transfer mechanism is disposed with a gap between the cold heat transfer mechanism and the stage.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: February 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tatsuo Hatano, Naoki Watanabe, Koji Maeda
  • Patent number: 11189466
    Abstract: In one embodiment, a switching circuit includes an electronic switch comprising one or more diodes for switching a reactance element within an electronically variable reactance element. A first power switch receives an input signal and a first voltage, and switchably connects the first voltage to a common output in response to the received input signal. A second power switch receives an input signal and a second voltage, and switchably connects the second voltage to the common output in response to the received input signal. The second voltage is opposite in polarity to the first voltage. The first power switch and the second power switch asynchronously connect the first voltage and the second voltage, respectively, to the common output, the one or more diodes of the electronic switch being switched according to the first voltage or the second voltage being connected to the common output.
    Type: Grant
    Filed: February 23, 2021
    Date of Patent: November 30, 2021
    Inventor: Imran Ahmed Bhutta
  • Patent number: 11183372
    Abstract: Provided is a batch-type substrate processing apparatus which supplies, into a processing space, a process gas decomposed in a separate space. The substrate processing apparatus includes: a tube; a substrate support part; a gas supply pipe; an exhaust part; and a plasma reaction part, wherein the plasma part may include a plurality of power supply electrode parts and a ground electrode part.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: November 23, 2021
    Inventors: Sung Ho Kang, Jeong Hee Jo, Gyu Ho Choi, Hong Won Lee, Chang Dol Kim
  • Patent number: 11155922
    Abstract: A method of manufacturing a semiconductor device includes: loading a substrate into a substrate process chamber having a plasma generation space in which a processing gas is plasma-excited and a substrate process space communicating with the plasma generation space; mounting the substrate on a substrate mounting table installed inside the substrate process space; adjusting a height of the substrate mounting table so that the substrate is located at a height lower than a lower end of a coil, the coil configured to wind around an outer periphery of the plasma generation space so as to have a diameter larger than a diameter of the substrate; supplying the processing gas to the plasma generation space; plasma-exciting the processing gas supplied to the plasma generation space by supplying a high-frequency power to the coil to resonate the coil; and processing the substrate mounted on the substrate mounting table by the plasma-excitation.
    Type: Grant
    Filed: September 20, 2018
    Date of Patent: October 26, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Teruo Yoshino, Takeshi Yasui, Masaki Murobayashi, Koichiro Harada, Tadashi Terasaki, Masanori Nakayama
  • Patent number: 11153960
    Abstract: This disclosure relates to systems and methods detecting a change in pressure, wall shear flow, or both. The method includes generating DC plasma having an electrical field based on an input DC voltage and a DC current, detecting changes to the electrical field, and identifying a change in wall shear flow, pressure, or both based on the change in the electrical field when the DC plasma is disposed in a flow field.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: October 19, 2021
    Assignee: Innoveering, LLC
    Inventors: George Papadopoulos, Daniel Bivolaru, Nicholas Tiliakos
  • Patent number: 11150286
    Abstract: A wireless passive probe solves problems such as the measurement accuracy of the field strength detecting device in the prior art is affected by the communication device and the system structure is complicated, which includes a probe for collecting data in the field to be measured; wherein the wireless passive probe further comprises: a wireless transmission module, an antenna module, sensors, and a microprocessor. The wireless passive probe of the present invention transmits position temperature, field strength, moisture, air pressure probe serial numbers and the coordinate signals of the probe in real time through the wireless transmission module, and provides the power supply to the communication module through detecting or receiving microwave signals through the antenna, thereby avoiding inaccurate wireless measurement of the probe field caused by the field to be tested which is not tightly sealed and the cable.
    Type: Grant
    Filed: November 30, 2019
    Date of Patent: October 19, 2021
    Assignee: Sichuan University
    Inventors: Kama Huang, Yang Yang, Huacheng Zhu, Xing Chen, Changjun Liu
  • Patent number: 11122790
    Abstract: A system for eradication of insects, insect larvae, and insect eggs includes an applicator, a plurality of nozzles, a gas source, and a cold plasma generator. The applicator is adapted to be moved by the user over an area to be treated. The plurality of nozzles are disposed on the applicator. The cold plasma generator is in electrical communication with a power supply. The cold plasma generator is in fluid communication with the plurality of nozzles and the gas source. The cold plasma generator is configured to generate cold plasma from the gas source and configured to expel a plurality of cold plasma plumes through the plurality of nozzles that forms a cold plasma field. The cold plasma field is generated at a power and a volumetric flow sufficient for the eradication of the insects, the insect larvae and the incents eggs from the area to be treated.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: September 21, 2021
    Assignee: NBS TEK LLC
    Inventors: Jeff Szozda, Carl Blazik, Bill Niedzwiecki
  • Patent number: 11035705
    Abstract: Disclosed is a device for measurement of a flow speed of a gas comprises a probe, a voltage supply source and a pulse-counting detection circuit. The probe comprises an axial electrode whose exposed end has a small radius of curvature, and a peripheral electrode. The supply source applies an alternating voltage between both electrodes, and electrical discharges which are produced through the gas between the two electrodes are detected and counted by the detection circuit. Such a speed measurement device is particularly reliable, and suited for use on board an aircraft for measuring the speed thereof relative to the surrounding air.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: June 15, 2021
    Assignee: OFFICE NATIONAL D'ETUDES ET DE RECHERCHES AÉROSPATIALES
    Inventor: Paul-Quentin Elias
  • Patent number: 11024445
    Abstract: A transfer module for transferring power to a non-thermal plasma generator includes a power cable; a first epoxy; a second epoxy; an interface between the first epoxy and the second epoxy; and a well; the power cable including a conductor for conducting electrical power and an insulation layer for surrounding a portion of the conductor; the first epoxy being located within the well to surround the insulation layer; the second epoxy being located within the well to surround the conductor located within the well; the second epoxy being located outside the well to surround the conductor located outside the well.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: June 1, 2021
    Inventor: Peter Farrell
  • Patent number: 11019716
    Abstract: A method for generating atmospheric pressure cold plasma inside a hand-held unit discharges cold plasma with simultaneously different rf wavelengths and their harmonics. The unit includes an rf tuning network that is powered by a low-voltage power supply connected to a series of high-voltage coils and capacitors. The rf energy signal is transferred to a primary containment chamber and dispersed through an electrode plate network of various sizes and thicknesses to create multiple frequencies. Helium gas is introduced into the first primary containment chamber, where electron separation is initiated. The energized gas flows into a secondary magnetic compression chamber, where a balanced frequency network grid with capacitance creates the final electron separation, which is inverted magnetically and exits through an orifice with a nozzle. The cold plasma thus generated has been shown to be capable of accelerating a healing process in flesh wounds on animal laboratory specimens.
    Type: Grant
    Filed: May 26, 2020
    Date of Patent: May 25, 2021
    Assignee: PLASMOLOGY4, INC.
    Inventor: Gregory A. Watson
  • Patent number: 10993058
    Abstract: The present invention relates to a manufacturing method for a diamond-like carbon diaphragm, comprising the steps of: placing a base material in the air; a step of depositing a composite diamond-like carbon diaphragm comprises: importing a carbon-containing gas from one end of an atmospheric pressure plasma chemical vapor deposition device, importing a main gas from the other end of the atmospheric pressure plasma chemical vapor deposition device; bringing the ionized carbon-containing gas out of the atmospheric pressure plasma chemical vapor deposition device by the main gas and depositing the same on the surface of the base material to form a composite diamond-like carbon diaphragm; a step of forming a diamond-like carbon vibrating diaphragm comprises: cutting from the composite diamond-like carbon diaphragm a diamond-like carbon vibrating diaphragm having the required diameter, forming a diamond-like carbon vibrating diaphragm having the required shape by means of a compressing process.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: April 27, 2021
    Inventors: Shihchia Chiu, Lei Yang, Tsangchiang Yang
  • Patent number: 10950428
    Abstract: Processes for providing nitridation on a workpiece, such as a semiconductor, are provided. In one example implementation, a method can include supporting a workpiece on a workpiece support. The method can include exposing the workpiece to species generated from a capacitively coupled plasma to provide nitridation on the workpiece. The method can also include exposing the workpiece to species generated form an inductively coupled plasma to provide nitridation on the workpiece.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: March 16, 2021
    Assignees: Mattson Technology, Inc., Beijing E-Town Semiconductor Technology Co., Ltd.
    Inventors: Ting Xie, Hua Chung, Xinliang Lu, Shawming Ma, Michael X. Yang
  • Patent number: 10930531
    Abstract: Systems and methods for controlling device performance variability during manufacturing of a device on wafers are disclosed. The system includes a process platform, on-board metrology (OBM) tools, and a first server that stores a machine-learning based process control model. The first server combines virtual metrology (VM) data and OBM data to predict a spatial distribution of one or more dimensions of interest on a wafer. The system further comprises an in-line metrology tool, such as SEM, to measure the one or more dimensions of interest on a subset of wafers sampled from each lot. A second server having a machine-learning engine receives from the first server the predicted spatial distribution of the one or more dimensions of interest based on VM and OBM, and also receives SEM metrology data, and updates the process control model periodically (e.g., wafer-to-wafer, lot-to-lot, chamber-to-chamber etc.) using machine learning techniques.
    Type: Grant
    Filed: October 9, 2018
    Date of Patent: February 23, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Samer Banna, Lior Engel, Dermot Cantwell
  • Patent number: 10920320
    Abstract: Methods of monitoring a plasma while processing a semiconductor substrate are described. In embodiments, the methods include determining the difference in power between the power delivered from the plasma power supply and the power received by the plasma in a substrate processing chamber. The power received may be determined using a V/I sensor positioned after the matching circuit. The power reflected or the power lost is the difference between the delivered power and the received power. The process may be terminated by removing the delivered power if the reflected power is above a setpoint. The VRF may further be fourier transformed into frequency space and compared to the stored fourier transform of a healthy plasma process. Missing frequencies from the VRF fourier transform may independently or further indicate an out-of-tune plasma process and the process may be terminated.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Junghoon Kim, Soonam Park, Tae Seung Cho, Dmitry Lubomirsky, Nikolai Kalnin
  • Patent number: 10910196
    Abstract: In one embodiment, a plasma processing system includes a plasma processing chamber, a substrate holder disposed in the plasma processing chamber, a coil disposed over the plasma processing chamber, and a plurality of taps configured to contact the coil at an associated contact region. The plasma processing system is configured to sustain a plasma by selecting a subset of taps from the plurality of taps to apply a power source and a reference potential.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: February 2, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Peter Ventzek, Alok Ranjan, Mitsunori Ohata, Michael Hummel
  • Patent number: 10886104
    Abstract: Systems and methods for adaptive plasma ignition are disclosed. A method includes assessing each of N voltage waveforms, wherein the assessing includes: selecting, from among the N voltage waveforms, a particular voltage waveform to apply to a plasma processing chamber, applying, repeatedly, the particular voltage waveform to the plasma processing chamber, wherein each application of the particular voltage waveform results in plasma ignition, and obtaining, each time a plasma is ignited in the plasma chamber, ignition-parameter values of each voltage waveform. An ignition profile may be created and stored in an ignition datastore for the particular voltage waveform, wherein the ignition profile is based upon the ignition-parameter values.
    Type: Grant
    Filed: June 10, 2019
    Date of Patent: January 5, 2021
    Assignee: Advanced Energy Industries, Inc.
    Inventor: Robert B. Huff
  • Patent number: 10849215
    Abstract: The present application relates to a cold plasma device (13) for treating a surface (6) with cold plasma. The device (13) has a cold plasma generator (14) adapted to generate cold plasma that produces reactive species for treating the surface (6). The device (13) also includes a treatment head (5) that is positionable relative to the surface (6) such that the reactive species are imparted toward the surface (6) during treatment. The device (13) is also provided with an air flow generator (8) to generate an air flow over the surface (6) and a controller (9) configured to control operation of the air flow generator (8) to generate an air flow over the surface (6) after the treatment has been completed such that remaining by-products of the cold plasma are dissipated.
    Type: Grant
    Filed: March 21, 2017
    Date of Patent: November 24, 2020
    Assignee: KONINKLIJKE PHILIPS N.V.
    Inventors: Frank Anton Van Abeelen, Eduard Gerard Marie Pelssers, Yannyk Parulian Julian Bourquin, Achim Hilgers, Roland Cornelis Martinus Vulders, Lili-Marjan Brockhuis
  • Patent number: 10825793
    Abstract: This invention relates to a method for bonding of a first contact surface of a first substrate to a second contact surface of a second substrate with the following steps, especially the following sequence: forming a reservoir in a surface layer on the first contact surface, the first surface layer consisting at least largely of a native oxide material, at least partial filling of the reservoir with a first educt or a first group of educts, the first contact surface making contact with the second contact surface for formation of a prebond connection, forming a permanent bond between the first and second contact surface, at least partially strengthened by the reaction of the first educt with a second educt contained in a reaction layer of the second substrate.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: November 3, 2020
    Inventors: Thomas Plach, Kurt Hingerl, Markus Wimplinger, Christoph Flötgen
  • Patent number: 10818477
    Abstract: Methods and systems for impedance matching between loads and power generators are provided. The methods include: supplying a power generated by a power generator to a load by an impedance matching system that includes at least one reactance adjustable by at least one actuator and is configured to transform the load impedance at an input end of the load into a transformed load impedance at an input end of the impedance matching system, determining a power reflected at the load or at least one variable associated with the reflected power, determining, based on the reflected power or the at least one variable, that there is a mismatch, determining a trajectory in a complex load plane according to at least one specified criterion, and controlling the at least one actuator such that the transformed load impedance follows the trajectory to match an output impedance of the power generator.
    Type: Grant
    Filed: April 26, 2018
    Date of Patent: October 27, 2020
    Assignee: TRUMPF Huettinger GmbH + Co. KG
    Inventors: Florian Maier, Nikolai Schwerg
  • Patent number: 10791617
    Abstract: Embodiments of this disclosure describe an electrode biasing scheme that enables maintaining a nearly constant sheath voltage and thus creating a mono-energetic IEDF at the surface of the substrate that consequently enables a precise control over the shape of IEDF and the profile of the features formed in the surface of the substrate.
    Type: Grant
    Filed: January 9, 2020
    Date of Patent: September 29, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Leonid Dorf, Olivier Luere, Rajinder Dhindsa, James Rogers, Sunil Srinivasan, Anurag Kumar Mishra
  • Patent number: 10777385
    Abstract: Embodiments of systems and methods for RF power distribution in a multi-zone electrode array are described. A system may include a plasma source configured to generate a plasma field. Also, the system may include an RF power source coupled to the plasma source and configured to supply RF power to the plasma source. The system may also include a source controller coupled to the RF power source and configured to control modulation of the RF power supplied to the plasma source to enhance uniformity of a plasma field generated by the plasma source.
    Type: Grant
    Filed: July 12, 2017
    Date of Patent: September 15, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Sergey Voronin, Alok Ranjan
  • Patent number: 10779389
    Abstract: Provided is a hand-type low temperature microwave plasma generator that is configured to implement plasma even in a low power state, and be easily applied to various small devices using microwave plasma including medical treatment and the like of wound treatment or cell treatment.
    Type: Grant
    Filed: February 13, 2020
    Date of Patent: September 15, 2020
    Assignee: PSM Inc.
    Inventor: Keun-Ho Lee
  • Patent number: 10755895
    Abstract: A method for slope control of ion energy is described. The method includes receiving a setting indicating that an etch operation is to be performed using a radio frequency (RF) pulse signal. The RF pulse signal includes a first state and a second state. The first state has a higher power level than the second state. The method further includes receiving a pulse slope associated with the RF pulse signal. The pulse slope provides a transition between the first state and the second state. Also, the pulse slope is other than substantially infinite for reducing an amount of ion energy during the etch operation. The method includes determining power levels and timings for achieving the pulse slope and sending the power levels and the timings to an RF generator to generate the RF pulse signal.
    Type: Grant
    Filed: December 2, 2016
    Date of Patent: August 25, 2020
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Zhigang Chen, John Patrick Holland
  • Patent number: 10704161
    Abstract: A plasma processing apparatus includes a toroidal-shape plasma vessel comprising a process chamber. A magnetic core surrounds a portion of the toroidal-shape plasma vessel. An RF power supply having an output that is electrically connected to the magnetic core energizes the magnetic core, thereby forming a toroidal plasma loop discharge in the plasma chamber. A workpiece holder is positioned in the toroidal-shape plasma vessel and includes at least one face. A plasma guiding structure is shaped and dimensioned so as to constrain a section of plasma in the toroidal plasma loop to travel substantially perpendicular to a normal to the at least one face.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: July 7, 2020
    Assignee: Plasmability, LLC
    Inventors: William Holber, Robert J. Basnett
  • Patent number: 10707560
    Abstract: A display device structure includes a back film, a display panel attached on the back film, and at least one antenna. The display panel defines a central area and a peripheral area on the back film. The antenna may be a 5G antenna, and is disposed on the peripheral area of the back film. The peripheral area of the back film is folded toward a back side of the display device structure. The display device may be disposed in a housing, which has a dielectric window located at a side surface thereof, such that each of the at least one antenna disposed on the folded peripheral area directly faces the dielectric window.
    Type: Grant
    Filed: April 25, 2019
    Date of Patent: July 7, 2020
    Assignee: A.U. VISTA, INC.
    Inventors: Tsung-Ying Ke, Chun-Hsin Liu, Chih-Hsiang Yang, Hung-Chieh Hung
  • Patent number: 10707054
    Abstract: In a plasma processing apparatus of an exemplary embodiment, a radio frequency power source generates radio frequency power for plasma generation. A bias power source periodically applies a pulsed negative direct-current voltage to a lower electrode to draw ions into a substrate support. The radio frequency power source supplies the radio frequency power as one or more pulses in a period in which the pulsed negative direct-current voltage is not applied to the lower electrode. The radio frequency power source stops supply of the radio frequency power in a period in which the pulsed negative direct-current voltage is applied to the lower electrode. Each of the one or more pulses has a power level that gradually increases from a point in time of start thereof to a point in time when a peak thereof appears.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: July 7, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinji Kubota
  • Patent number: 10707057
    Abstract: In one embodiment, an RF impedance matching circuit is disclosed. The matching circuit is coupled between a plasma chamber and an RF source providing an RF signal having a frequency. The matching circuit includes a first electronically variable capacitor having a first variable capacitance and a second electronically variable capacitor having a second variable capacitance. A control circuit determines a first parameter related to the plasma chamber, and then determines, based on the first parameter, a first capacitance value for the first electronically variable capacitor and a second capacitance value for the second electronically variable capacitor. The control circuit then generates a control signal to alter the first variable capacitance and the second variable capacitance accordingly, causing the RF power reflected back to the RF source to decrease while the frequency of the RF source is not altered.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: July 7, 2020
    Assignee: RENO TECHNOLOGIES, INC.
    Inventors: Imran Ahmed Bhutta, Michael Gilliam Ulrich
  • Patent number: 10697954
    Abstract: The invention relates to a sample-holding element (20) for a liquid sample for the simultaneous analysis of three or more chemico-physical parameters of the liquid by means of an analysis device. The sample-holding element (20) has a sample-holding chamber (31), which can be filled with the liquid, wherein the sample-holding element (20) has at least three measurement points (24, 25, 26, 26N, 27) arranged adjacent to each other, which are distributed over the sample-holding chamber (31), wherein two of the measurement points (24, 25) are a photonic measurement point (24) and a refractive-index measurement point (25) and wherein the at least one further measurement point is selected from the group comprising at least a pH measurement point (26), a conductivity measurement point (27) and a germ measurement point.
    Type: Grant
    Filed: July 28, 2017
    Date of Patent: June 30, 2020
    Assignee: Fuchs Petrolub SE
    Inventors: Christine Fuchs, Heinz Gerhard Theis
  • Patent number: 10663491
    Abstract: A voltage-current sensor enables more accurate measurement of the voltage, current, and phase of RF power that is delivered to high-temperature processing region. The sensor includes a planar body comprised of a non-organic, electrically insulative material, a measurement opening formed in the planar body, a voltage pickup disposed around the measurement opening, and a current pickup disposed around the measurement opening. Because of the planar configuration and material composition of the sensor, the sensor can be disposed proximate to or in contact with a high-temperature surface of a plasma processing chamber.
    Type: Grant
    Filed: February 9, 2018
    Date of Patent: May 26, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zheng John Ye, Jay D. Pinson, II, Juan Carlos Rocha, Abdul Aziz Khaja
  • Patent number: 10649006
    Abstract: Apparatuses, systems, and techniques for characterizing asymmetry effects caused by cathode designs, ESC designs, cable routing, and process chamber geometries are provided. Such apparatuses, systems, and techniques may include, for example, a rotatable RF probe assembly in physical contact to a conductive plate disposed on a surface of a pedestal.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: May 12, 2020
    Assignee: Lam Research Corporation
    Inventors: Maolin Long, Alex Paterson
  • Patent number: 10619237
    Abstract: A method and a device for plasma treatment of containers by means of a plurality of treatment segments each having at least one plasma station on a plasma module comprising a plasma wheel, wherein, during an operational malfunction and/or a cut-out in at least one of the plasma stations, the process gas, before being supplied to the plasma station in question, is carried off into the respective plasma chamber and/or the container held therein, by means of at least one bypass line.
    Type: Grant
    Filed: March 16, 2017
    Date of Patent: April 14, 2020
    Assignee: KHS CORPOPLAST GMBH
    Inventors: Alexander Disterhof, Michael Herbort, Sebastian Kytzia
  • Patent number: 10620648
    Abstract: Examples of an insulation system include a digital isolator for receiving supply of a first power supply on an input side thereof and receiving supply of a second power supply on an output side thereof, and an output adjusting unit for receiving supply of the second power supply, directly outputting an output of the digital isolator when a voltage of the second power supply is larger than a predetermined voltage, and stopping data output irrespective of the output of the digital isolator when the voltage of the second power supply is smaller or equal to the predetermined voltage.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: April 14, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Phuc Hong Ninh, Tsutomu Makino
  • Patent number: 10615004
    Abstract: Embodiments of the disclosure provide a plasma source assembly and process chamber design that can be used for any number of substrate processing techniques. The plasma source may include a plurality of discrete electrodes that are integrated with a reference electrode and a gas feed structure to generate a uniform, stable and repeatable plasma during processing. The plurality of discrete electrodes include an array of electrodes that can be biased separately, in groups or all in unison, relative to a reference electrode. The plurality of discrete electrodes may include a plurality of conductive rods that are positioned to generate a plasma within a processing region of a process chamber. The plurality of discrete electrodes is provided RF power from standing or traveling waves imposed on a power distribution element to which the electrodes are connected.
    Type: Grant
    Filed: June 6, 2019
    Date of Patent: April 7, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kenneth S. Collins, Michael R. Rice, Kartik Ramaswamy, James D. Carducci, Yue Guo, Olga Regelman
  • Patent number: 10616988
    Abstract: Approaches herein provide a plasma arc torch including a tip surrounding an electrode, the electrode having a proximal end and a distal end, and a shield surrounding the tip, the shield including an exit orifice proximate the distal end of the electrode. The torch may further include a linear actuating device coupled to the electrode for actuating the electrode such that the distal end of the electrode moves axially relative to the tip and the exit orifice of the shield. In some approaches, the linear actuating device is operable to actuate the electrode along a central longitudinal axis extending through the tip. In some approaches, the linear actuating device may include one of: a micro linear drive motor, a micro linear stepper motor, a voice coil, a solenoid coil, and a magnetostrictive actuator. In some approaches, the electrode is actuated during a welding or cutting cycle of the torch.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: April 7, 2020
    Assignee: The ESAB Group Inc.
    Inventors: Andrew Raymond, David A. Tatham, Leonid Pekker, Daniel A. Cote, Geoffrey H. Putnam, Ryan Lynaugh
  • Patent number: 10607815
    Abstract: Methods of operating and assembling a plasma chamber are disclosed. An operating method includes tuning a match network of a plasma chamber while running a non-plasma discharge recipe. A hardware impedance of the plasma chamber is calculated from the match network settings from the tuning. A match loss for the plasma chamber is also calculated according to match network settings. A radio frequency (RF) power setting for the first plasma chamber is set according to the calculated hardware impedance and the calculated match loss. Such methods can be utilized to provide chamber-to-chamber performance matching across different plasma chambers. Certain disclosed methods of operating the plasma chamber can be utilized to identify hardware faults during operation and/or assembly processes.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: March 31, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sathyendra Ghantasala, Hyun-Ho Doh, Vijayakumar C. Venugopal
  • Patent number: 10595365
    Abstract: Embodiments of the invention generally provide a lid heater for a plasma processing chamber. In one embodiment, a lid heater assembly is provided that includes a thermally conductive base. The thermally conductive base has a planar ring shape defining an inner opening. The lid heater assembly further includes a heating element disposed on the thermally conductive base, and an insulated center core disposed across the inner opening of the thermally conductive base.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: March 17, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Alan H. Ouye, Graeme Scott, Keven Kaisheng Yu, Michael N. Grimbergen
  • Patent number: 10573493
    Abstract: Methods and apparatus for plasma processing are provided herein. In some embodiments, a plasma processing apparatus includes a process chamber having an interior processing volume; a first RF coil disposed proximate the process chamber to couple RF energy into the processing volume; and a second RF coil disposed proximate the process chamber to couple RF energy into the processing volume, the second RF coil disposed coaxially with respect to the first RF coil, wherein the first and second RF coils are configured such that RF current flowing through the first RF coil is out of phase with RF current flowing through the RF second coil.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: February 25, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Valentin N. Todorow, Samer Banna, Ankur Agarwal, Zhigang Chen, Tse-Chiang Wang, Andrew Nguyen, Martin Jeff Salinas, Shahid Rauf
  • Patent number: 10553465
    Abstract: A system for controlling of wafer bow in plasma processing stations is described. The system includes a circuit that provides a low frequency RF signal and another circuit that provides a high frequency RF signal. The system includes an output circuit and the stations. The output circuit combines the low frequency RF signal and the high frequency RF signal to generate a plurality of combined RF signals for the stations. Amount of low frequency power delivered to one of the stations depends on wafer bow, such as non-flatness of a wafer. A bowed wafer decreases low frequency power delivered to the station in a multi-station chamber with a common RF source. A shunt inductor is coupled in parallel to each of the stations to increase an amount of current to the station with a bowed wafer. Hence, station power becomes less sensitive to wafer bow to minimize wafer bowing.
    Type: Grant
    Filed: June 30, 2017
    Date of Patent: February 4, 2020
    Assignee: Lam Research Corporation
    Inventors: Edward Augustyniak, David French, Sunil Kapoor, Yukinori Sakiyama, George Thomas
  • Patent number: 10548210
    Abstract: Non-disperse, periodic microplasmas are generated in a volume lacking interfering structures, such as electrodes, to enable photonic interaction between incident electromagnetic energy and the non-disperse, periodic microplasmas. Preferred embodiments leverage 1D, 2D, 3D and super 3D non-disperse, periodic microplasmas. In preferred embodiments, the non-disperse, periodic microplasmas are elongate columnar microplasmas. In other embodiments, the non-disperse, periodic microplasmas are discrete isolated microplasmas. The photonic properties can change by selectively activating groups of the periodic microplasmas.
    Type: Grant
    Filed: September 28, 2016
    Date of Patent: January 28, 2020
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: J. Gary Eden, Paul V. Braun, Sung-Jin Park, Hee Jun Yang, Peng Sun, Runyu Zhang
  • Patent number: 10545118
    Abstract: A dielectric barrier discharge ionization detector (BID) capable of achieving a high level of signal-to-noise ratio in a stable manner is provided. In a BID having a high-voltage electrode, upstream-side ground electrode and downstream-side ground electrode circumferentially formed on the outer circumferential surface of a cylindrical dielectric tube, a heater for heating the cylindrical dielectric tube or tube-line tip member attached to the upper end of the same tube is provided. Increasing the temperature of the cylindrical dielectric tube by this heater improves the stability of the electric discharge, whereby the amount of noise is reduced and a high level of signal-to-noise is achieved.
    Type: Grant
    Filed: September 7, 2017
    Date of Patent: January 28, 2020
    Assignee: Shimadzu Corporation
    Inventor: Kei Shinada
  • Patent number: 10475624
    Abstract: In frequency control where impedance matching is performed by frequency sweep in an RF power supply device, a frequency sweep direction is specified, allowing a reflection coefficient and/or reflected power to move to a minimum, whereby it is possible to reduce a time length required until detecting the frequency that enables the reflection coefficient and/or the reflected power to be minimized. The frequency control for impedance matching in the RF power supply device is performed according to the following two-stage control; A) phase control that specifies the frequency sweep direction that allows the reflection coefficient and/or the reflected power to move to a minimum, based on the phase state of oscillating frequency, and that starts increasing or decreasing the frequency in thus specified sweep direction; and B) reflected power control where the reflection coefficient or the reflection amount is used as a control end condition for completing the frequency control.
    Type: Grant
    Filed: September 7, 2016
    Date of Patent: November 12, 2019
    Assignee: KYOSAN ELECTRIC MFG. CO., LTD.
    Inventors: Itsuo Yuzurihara, Ryosuke Ohma
  • Patent number: 10471465
    Abstract: The invention provides a method for forming regular polymer thin films on a substrate using atmospheric plasma discharges. In particular, the method allows for the deposition of functional polymer thin films which require a high regularity and a linear polymer structure.
    Type: Grant
    Filed: May 6, 2015
    Date of Patent: November 12, 2019
    Assignee: Luxembourg Institute of Science and Technology (LIST)
    Inventors: Nicolas Boscher, Patrick Choquet, David Duday, Florian Hilt
  • Patent number: 10468233
    Abstract: Methods of operating a plasma enhanced substrate processing system using pulsed radio frequency (RF) power are provided herein. In some embodiments, a method of operating a plasma enhanced substrate processing system using pulsed radio frequency (RF) power includes providing a first pulsed RF power waveform to a process chamber at a first power level during a first time period, providing a second pulsed RF power waveform at a first power level to the process chamber during the first time period, obtaining a first reflected power created by the first and second pulsed RF power waveforms provided during the first time period, and performing a first load leveling process to adjust the first power level of the first pulsed RF power waveform to compensate for the obtained reflected power during the first time period to produce a delivered power at a preset power level.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: November 5, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Katsumasa Kawasaki, Justin Phi, Sergio Shoji
  • Patent number: 10462889
    Abstract: The invention relates to a pulse generator comprising an inductor that is intended to store energy that is delivered, during a charge phase, by a DC voltage source to two power supply terminals of the generator, and to transfer the energy to the dielectric-barrier discharge device during a discharge phase via a transformer, the generator further comprising: an arrangement of a first and of a second circuits in series that are connected at a node N of the generator; the first circuit comprising two branches, one of the two branches comprising the inductor, and the other branch comprising a diode and the transformer, the secondary being connected to the dielectric-barrier discharge device; the second circuit comprising a controlled switch.
    Type: Grant
    Filed: August 24, 2017
    Date of Patent: October 29, 2019
    Assignee: CLARTEIS
    Inventor: Sounil Bhosle