Including Heating Patents (Class 430/330)
  • Patent number: 8247164
    Abstract: The method prepares a substrate provided thereon with a first resist film having a first pattern of first pillars spaced at intervals, the pillars having a first height, and forms a second resist film on the substrate. The second resist film is formed by alternately performing, each at least twice, applying of a resist solution to the substrate such that at least the spaces between adjacent first pillars are filled with a resist solution having a thickness smaller than the first height, and by heat-treating of the substrate to solidify the resist solution thus applied, thereby forming a resist layer, whereby the spaces between the adjacent first pillars are filled with resist layers, as the second resist film, having a total thickness at least approximately equal to the first height.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: August 21, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takahisa Otsuka
  • Patent number: 8241841
    Abstract: The present invention provides a process for producing a surface-modified layer system comprising a substrate (2) and a self-assembled monolayer (SAM) (1) anchored to its surface. The SAM (1) is comprised by aryl or rigid alicyclic moiety species. The process comprises providing a polymorphic SAM (1) anchored to the substrate (2), and thermally treating (4) the SAM to change from a first to a second structural form thereof. The invention also provides a thermolithographic form of process in which the thermal treatment (4) is used to transfer a pattern (3) to the SAM (1), which is then developed.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: August 14, 2012
    Assignee: The University Court of the University of St. Andrews
    Inventors: Manfred Buck, Piotr Cyganik
  • Patent number: 8241837
    Abstract: Provided are a mask pattern including a silicon-containing self-assembled molecular layer, a method of forming the same, and a method of fabricating a semiconductor device. The mask pattern includes a resist pattern formed on a semiconductor substrate and the self-assembled molecular layer formed on the resist pattern. The self-assembled molecular layer has a silica network formed by a sol-gel reaction. To form the mask pattern, first, the resist pattern is formed with openings on an underlayer covering the substrate to expose the underlayer to a first width. Then, the self-assembled molecular layer is selectively formed only on a surface of the resist pattern to expose the underlayer to a second width smaller than the first width. The underlayer is etched by using the resist pattern and the self-assembled molecular layer as an etching mask to obtain a fine pattern.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: August 14, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Hwan Hah, Jin Hong, Hyun-Woo Kim, Hata Mitsuhiro, Kolake Mayya Subramanya, Sang-Gyun Woo
  • Patent number: 8241840
    Abstract: A pattern forming method includes (a) coating a substrate with a resist composition including a resin that includes a repeating unit represented by a following general formula (NGH-1), and, by the action of an acid, increases the polarity and decreases the solubility in a negative developing solution; (b) exposing; and (d) developing with a negative developing solution: wherein RNGH1 represents a hydrogen atom or an alkyl group; and RNGH2 to RNGH4 each independently represents a hydrogen atom or a hydroxyl group, provided that at least one of RNGH2 to RNGH4 represents a hydroxyl group.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: August 14, 2012
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinji Tarutani, Kazuyoshi Mizuyoshi, Kenji Wada, Wataru Hoshino
  • Patent number: 8236466
    Abstract: Exemplary embodiments provide compositions and methods for making and using an erasable medium that can include a photochromic layer disposed over a substrate. The photochromic layer can include one or more photochromic molecule-polymer systems, wherein each of the one or more photochromic molecule-polymer systems can include a photochromic molecule covalently bonded within a polymer main chain, the photochromic molecule including one or more photochromic moieties (PM) linked together via a linker.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: August 7, 2012
    Assignee: Xerox Corporation
    Inventors: Kentaro Morimitsu, Gabriel Iftime, Peter M. Kazmaier
  • Patent number: 8236579
    Abstract: Methods and systems for lithographically exposing a substrate based on a curvature profile of the substrate.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: August 7, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Tzu Lu, Hung Chang Hsieh, Kuei Shun Chen, Hsueh-Hung Fu, Ching-Hua Hsieh, Shau-Lin Shue
  • Patent number: 8236484
    Abstract: As the critical dimensions of liftoff patterns grow smaller, it becomes increasingly more difficult to make liftoff resists that have the required resolution. This problem has been overcome by use of a combination of ion beam processing and ozone slimming to form lift-off patterns with undercuts from a single layer of photoresist. The ion beam process serves to harden the top portion of the resist while the ozone is used to oxidize and erode the lower portion resist sidewall to form the undercut.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: August 7, 2012
    Assignee: Headway Technologies, Inc.
    Inventors: Jei-Wei Chang, Chao-Peng Chen, Chunping Luo, Shou-Chen Kao
  • Patent number: 8231959
    Abstract: A photosensitive polymer composition includes (a) a polyamide having a repeating unit represented by the following general formula (I): wherein U represents a tetravalent organic group, V represents a bivalent organic group and p is an integer representing a number of the repeating unit; (b) a compound which generates an acid upon receiving light; and (c) a compound represented by the following general formula (II): wherein m and n are each independently integer of 1 or 2, Rs are each independently hydrogen, alkyl group or acyl group, and R1 and R2 each independently represents fluoroalkyl group having 1 to 3 carbon atoms.
    Type: Grant
    Filed: December 16, 2004
    Date of Patent: July 31, 2012
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Masayuki Ooe, Hiroshi Komatsu, Yoshiko Tsumaru, Dai Kawasaki, Kouji Katou, Takumi Ueno
  • Patent number: 8227183
    Abstract: A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: July 24, 2012
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Shinichi Kanna
  • Patent number: 8227179
    Abstract: Techniques for manufacturing cross-structures of nanostructures, such as nanowires and carbon nanotubes are provided. In one embodiment, a method for manufacturing cross-structures of nanostructures include providing a substrate, patterning a first mask layer on the substrate, adsorbing first nanostructures onto surface regions of the substrate where the first mask layer does not exist, removing the first mask layer from the substrate, patterning a second mask layer on the substrate to which the first nanostructures are adsorbed, and adsorbing second nanostructures onto the surface regions of the substrate where the second mask layer does not exist, under conditions effective to manufacture cross-structures of nanostructures on the substrate.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: July 24, 2012
    Assignee: SNU R&DB Foundation
    Inventors: Seunghun Hong, Sung Young Park, Seon Namgung
  • Patent number: 8227177
    Abstract: The invention relates to a method with contrast reversal which, inter alia, opens up new areas of application for resists.
    Type: Grant
    Filed: May 10, 2007
    Date of Patent: July 24, 2012
    Assignee: Infineon Technologies AG
    Inventors: Kang-Hoon Choi, Klaus Elian, Christoph Hohle, Johannes Kretz, Frank Thrum
  • Patent number: 8227172
    Abstract: There is provided a resist underlayer film forming composition used in a lithography process for producing semiconductor devices. A method of producing a semiconductor device comprising: forming a coating film by applying a resist underlayer film forming composition containing a polymer, a crosslinker and a photoacid generator on a semiconductor substrate; forming an underlayer film by irradiating light to the coating film; and forming a photoresist by applying a photoresist composition on the underlayer film and heating the resultant layer. The polymer polymer is a polymer having a benzene ring or a hetero ring in a main chain or a side chain bonded to the main chain, and the content rate of a benzene ring in the polymer is 30 to 70% by mass. The polymer may be a polymer containing a lactone structure.
    Type: Grant
    Filed: October 10, 2007
    Date of Patent: July 24, 2012
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yusuke Horiguchi, Satoshi Takei, Tetsuya Shinjo
  • Patent number: 8227182
    Abstract: In a thinner composition and a method of forming a photosensitive film, the thinner composition includes about 50 to about 90% by weight of propylene glycol monomethyl ether acetate, about 1 to about 20% by weight of propylene glycol monomethyl ether, about 1 to about 10% by weight of ?-butyrolactone, and about 1 to about 20% by weight of n-butyl acetate. The thinner composition may have a proper volatility and an improved ability to dissolve various types of photosensitive materials, and thus the thinner composition may be usefully employed in an edge bead rinse process, a rework process or a pre-wetting process.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: July 24, 2012
    Assignees: Samsung Electronics Co., Ltd., Dongwoo Fine-Chem Co., Ltd.
    Inventors: Ahn-Ho Lee, Baik-Soon Choi, Seung-Hyun Ahn, Sang-Tae Kim, Yong-Il Kim, Shi-Jin Sung, Kyong-Ho Lee
  • Patent number: 8227181
    Abstract: A method of preparing a patterned film on a substrate includes applying a silicone composition onto a substrate to form a film of the silicone composition. A portion of the film is exposed to radiation to produce a partially exposed film having an exposed region and a non-exposed region. The partially exposed film is heated for a sufficient amount of time and at a sufficient temperature to substantially insolubilize the exposed region in a developing solvent that includes a siloxane component. The non-exposed region of the partially exposed film is removed with the developing solvent to reveal a film-free region on the substrate and to form the patterned film including the exposed region that remains on the substrate. The film-free regions is substantially free of residual silicone due to the presence of the siloxane component in the developing solvent.
    Type: Grant
    Filed: August 8, 2007
    Date of Patent: July 24, 2012
    Assignee: Dow Corning Corporation
    Inventors: Herman C. G. D. C. Meynen, Brian Harkness
  • Patent number: 8221958
    Abstract: Disclosed is a photosensitive paste including glass frit; organic binder; polymerizable monomer; photopolymerization initiator; and organic solvent, wherein at least a part of the polymerizable monomer contains phosphorus atom within the structure.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: July 17, 2012
    Assignee: E.I. du Pont de Nemours and Company
    Inventors: Kazushige Ito, Masakatsu Kuroki
  • Patent number: 8221961
    Abstract: The present invention relates to a method of manufacturing semiconductor devices. According to the method, an etch target layer, a chemically amplified photoresist layer, and an Anti-Reflective Coating (ARC) layer are first sequentially formed over a semiconductor substrate. An exposure process is performed in order to form exposure portions in the photoresist layer. A thermal process is performed so that a decrosslinking reaction is generated in the ARC layer on the exposure portions. A development process is performed in order to form photoresist layer patterns and ARC layer patterns by removing the ARC layer at portions in which the decrosslinking reaction has occurred and the exposure portions. A silylation process is performed in order to form silylation patterns on sidewalls of each of the photoresist layer patterns. The ARC layer patterns and the photoresist layer patterns are removed. The etch target layer is patterned using the silylation patterns as an etch mask.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: July 17, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Guee Hwang Sim
  • Patent number: 8216774
    Abstract: A pattern is formed by coating a chemically amplified positive resist composition comprising a resin comprising acid labile group-containing recurring units and a photoacid generator onto a substrate, drying to form a resist film, exposing the resist film to high-energy radiation through a phase shift mask including a lattice-like first shifter and a second shifter arrayed on the first shifter and consisting of lines which are thicker than the line width of the first shifter, PEB, developing to form a positive pattern, illuminating or heating the positive pattern to eliminate acid labile groups for increasing alkaline solubility and to induce crosslinking for imparting solvent resistance, coating a reversal film, and dissolving away the positive pattern in an alkaline wet etchant to form a pattern by way of positive/negative reversal.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: July 10, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8216767
    Abstract: A method for fabricating an integrated circuit device is disclosed. The method includes providing a substrate; forming a first material layer over the substrate; forming a second material layer over the first material layer, wherein the second material layer comprises a photodegradable base material; and exposing at least a portion of the second material layer.
    Type: Grant
    Filed: September 8, 2009
    Date of Patent: July 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang, Tsai-Sheng Gau, Burn Jeng Lin
  • Patent number: 8216766
    Abstract: A polymer having a rate of dissolution in an alkaline developer that increases under the action of acid is provided. The polymer is prepared by reacting a hydrogenated ROMP polymer with an O-alkylating agent in the presence of a base.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: July 10, 2012
    Assignees: Shin-Etsu Chemical Co., Ltd., Mitsui Chemicals, Inc.
    Inventors: Takeru Watanabe, Takeshi Kinsho, Tomohiro Kobayashi, Tadahiro Sunaga, Yuichi Okawa, Hirofumi Io
  • Patent number: 8216775
    Abstract: The anti-reflection film forming material according to the present invention includes (a) a water soluble resin having a vinyl acetate constituent unit, and (b) a compound having a constituent unit represented by the following general formula. Wherein, R1 and R2 represent a direct bond or a methylene chain; R3 and R4 represent a hydrogen atom, an alkyl group having 1 to 10 carbon atoms, or a group represented by —(CH2)n—O—R5—R6; and at least one of R3 and R4 is a group represented by —(CH2)n—O—R5—R6, wherein: R5 represents a direct bond or an alkylene chain having 1 to 10 carbon atoms which may be interrupted with —O—; R6 represents an alkyl group having 1 to 10 carbon atoms in which a portion or all hydrogen atoms are substituted with a fluorine atom; and n represents an integer of 0 to 10. Wherein, the total number of carbon atoms present in R1 and R2 is 1 or 2.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: July 10, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yuriko Shirai, Takako Hirosaki, Masahiro Masujima, Atsushi Sawano, Jun Koshiyama
  • Patent number: 8211719
    Abstract: A substrate processing method includes preparing a substrate, a first mask adjacent to a first surface of the substrate and including a first light transmitting portion allowing light to be transmitted therethrough, a condenser adjacent to the first surface, a second mask including a second light transmitting portion, and a photo detecting member including a photo detecting portion detecting light having passed through the second light transmitting portion, the condenser condensing light having passed through the first light transmitting portion toward the second light transmitting portion, the second light transmitting portion allowing the light condensed by the condenser to be transmitted therethrough, and forming a recess in the substrate by laser beam irradiation from a direction opposite to the first surface. When an intensity of the laser beam detected by the photo detecting portion is at or above a specific intensity, the irradiation of the laser beam is stopped.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: July 3, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroyuki Morimoto, Masahiko Kubota
  • Patent number: 8211624
    Abstract: A pattern forming method includes (1) selectively exposing a first resist layer, and developing the exposed first resist layer to form a first pattern, (2) applying a resin composition containing a hydroxyl group-containing resin and a solvent to the first pattern, baking the applied resin composition, and developing the baked resin composition to form a second pattern, the hydroxyl group-containing resin becoming insoluble or scarcely soluble in a developer when baked, and (3) totally or selectively exposing the second pattern to make the second pattern partly soluble in the developer, and developing the exposed second pattern to form a third pattern in which at least a hole or a groove is formed in the second pattern.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: July 3, 2012
    Assignee: JSR Corporation
    Inventors: Atsushi Nakamura, Tsutomu Shimokawa, Junichi Takahashi, Takayoshi Abe, Tomoki Nagai, Tomohiro Kakizawa
  • Patent number: 8211619
    Abstract: The present invention provides: a positive photosensitive composition that yields an insulation layer superior not only in high transparency, but also in heat resistance enduring a temperature during the production of a substrate, solvent resistance, and anti-aging property as a permanent resist; a positive permanent resist utilizing the positive photosensitive composition; and a method for producing the positive permanent resist. The present invention provides: a positive photosensitive composition containing (A) a curable silicone resin having a silanol group, which resin has a structure obtained by a reaction between one or more cyclic siloxane compounds represented by the following general formula (1): and one or more arylalkoxysilane compounds represented by the following general formula (2): (B) diazonaphthoquinones, and (C) a solvent; a positive permanent resist using the positive photosensitive composition; and a method for producing the positive permanent resist.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: July 3, 2012
    Assignee: Adeka Corporation
    Inventors: Hiroshi Morita, Hiromi Sato, Atsushi Kobayashi, Jinichi Omi, Seiichi Saito
  • Patent number: 8211620
    Abstract: The present invention relates to thermoplastic material comprising polymer and at least one polychromic substance, wherein the polychromic substance is a functionalised diacetylene having the formula which has the general structure: X—C?C—C?C—Y—(CO)n-QZ wherein X is H or alkyl, Y is a divalent alkylene group, Q is O, S or NR, R is H or alkyl, and Z is alkyl, and n is 0 or 1. The present invention further relates to a method of processing thermoplastic material to form a plastic article, wherein the method comprises the step of processing the thermoplastic material at a temperature greater than the melt temperature of the thermoplastic, wherein the thermoplastic material comprises polymer and at least one polychromic substance as defined above; and further comprising the step of irradiating the plastic article to color at least a region of the plastic article.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: July 3, 2012
    Assignee: The Procter & Gamble Company
    Inventors: Neil John Rogers, Christopher Lamb, Anthony Nicholas Jarvis
  • Patent number: 8206893
    Abstract: Novel, developer-soluble anti-reflective coating compositions and methods of using those compositions are provided. The compositions comprise a multi-functional acid reacted with a multi-functional vinyl ether to form a branched polymer or oligomer. In use, the compositions are applied to a substrate and thermally crosslinked. Upon exposure to light and post-exposure baking, the cured polymers/oligomers will decrosslink and depolymerize, rendering the layer soluble in typical photoresist developing solutions (e.g., alkaline developers).
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: June 26, 2012
    Assignee: Brewer Science Inc.
    Inventors: Hao Xu, Ramil-Marcelo L. Mercado, Douglas J. Guerrero, Jim D. Meador
  • Patent number: 8206894
    Abstract: A resist pattern-forming method includes forming a first resist pattern using a first positive-tone radiation-sensitive resin composition. A resist pattern-miniaturizing resin composition is applied to the first resist pattern. The resist pattern-miniaturizing resin composition applied to the first resist pattern is baked and developed to form a second resist pattern that is miniaturized from the first resist pattern. A resist pattern-insolubilizing resin composition is applied to the second resist pattern. The resist pattern-insolubilizing resin composition applied to the second resist pattern is baked and washed to form a third resist pattern that is insoluble in a developer and a second positive-tone radiation-sensitive resin composition. A second resist layer is formed on the third resist pattern using the second positive-tone radiation-sensitive resin composition. The second resist layer is exposed and developed to form a fourth resist pattern.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: June 26, 2012
    Inventors: Takayoshi Abe, Atsushi Nakamura, Gouji Wakamatsu
  • Patent number: 8202677
    Abstract: There is disclosed a chemically-amplified positive resist composition comprising, as main components, (A) a base polymer, which contains one or more kinds of a monomer unit represented by the following general formula (1) and the like, and is an alkali-insoluble polymer whose hydroxyl group is partly protected by an acetal group while alkali-soluble when deprotected by an acid catalyst, (B) a sulfonium salt containing a sulfonate anion, (C) a basic component, and (D) an organic solvent. In a lithography technology by a photo resist, an extremely high temporal stability is necessary. In addition, it must give a good pattern profile not dependent on a substrate and have a high resolution power. There can be provided a chemically-amplified positive resist composition which can solve these problems simultaneously, a resist patterning process using the same, and a method for producing a photo mask blank.
    Type: Grant
    Filed: June 8, 2009
    Date of Patent: June 19, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Satoshi Watanabe, Youichi Ohsawa, Masaki Ohashi, Takeshi Kinsho
  • Patent number: 8202678
    Abstract: The present invention discloses an antireflective coating composition for applying between a substrate surface and a positive photoresist composition. The antireflective coating composition is developable in an aqueous alkaline developer. The antireflective coating composition comprises a polymer, which comprises at least one monomer unit containing one or more moieties selected from the group consisting of a lactone, maleimide, and an N-alkyl maleimide; and at least one monomer unit containing one or more absorbing moieties. The polymer does not comprise an acid labile group. The present invention also discloses a method of forming and transferring a relief image by using the inventive antireflective coating composition in photolithography.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: June 19, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung J. Chen, Mahmoud Khojasteh, Ranee Wai-Ling Kwong, Margaret C. Lawson, Wenjie Li, Kaushal S. Patel, Pushkara R. Varanasi
  • Patent number: 8197996
    Abstract: A method and system for patterning a substrate using a dual-tone development process is described. The method and system comprise using a resist material having a polymer backbone with a plurality of protecting groups attached thereto to improve process latitude and critical dimension uniformity for the dual-tone development process.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: June 12, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Carlos A. Fonseca, Mark Somervell, Steven Scheer
  • Patent number: 8198017
    Abstract: A producing method of a wired circuit board includes the steps of preparing a two-layer base material including a metal supporting layer and an insulating layer, covering an upper surface of the insulating layer and respective side end surfaces of the insulating layer and the metal supporting layer with a photoresist, placing a photomask so as to light-shield an end portion and a portion where a conductive layer is to be formed of the upper surface, exposing to light the photoresist covering the upper surface from above the photoresist via the photomask, exposing to light the photoresist covering the respective side end surfaces from below the photoresist, forming an exposed portion of the photoresist into a pattern by removing an unexposed portion thereof to form a plating resist, and forming an end-portion conductive layer and the conductive layer.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: June 12, 2012
    Assignee: Nitto Denko Corporation
    Inventor: Keiji Takemura
  • Patent number: 8198016
    Abstract: The present invention provides a patterning process, in which a resistance with regard to an organic solvent used for a composition for formation of a reverse film is rendered to a positive pattern to the degree of necessity and yet solubility into an alkaline etching liquid is secured, thereby enabling to finally obtain a negative image by a positive-negative reversal by performing a wet etching using an alkaline etching liquid. A resist patterning process of the present invention using a positive-negative reversal comprises at least a step of forming a resist film by applying a positive resist composition; a step of obtaining a positive pattern by exposing and developing the resist film; a step of crosslinking the positive resist pattern thus obtained; a step of forming a reverse film; and a step of reversing the positive pattern to a negative pattern by dissolving into an alkaline wet-etching liquid for removal.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: June 12, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Tsutomu Ogihara, Mutsuo Nakashima, Kazuhiro Katayama
  • Patent number: 8198006
    Abstract: A process for producing a semiconductor device includes a circuit formation step of forming circuit wiring on a semiconductor wafer using a chemically-amplified resist, and a cured film formation step of forming a cured film that protects the circuit wiring after forming the circuit wiring, the cured film being formed of a cured material of a photosensitive resin composition that comprises an alkali-soluble resin having a polybenzoxazole structure or a polybenzoxazole precursor structure, a compound that generates an acid upon exposure to light, and a solvent. The photosensitive resin composition substantially does not contain N-methyl-2-pyrrolidone. The process can suppress a T-top phenomenon or the like that may occur when forming a circuit on a semiconductor wafer using a chemically-amplified resist in the production of semiconductor devices.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: June 12, 2012
    Assignee: Sumitomo Bakelite Co., Ltd.
    Inventor: Toshio Banba
  • Patent number: 8192921
    Abstract: A pattern is formed by coating a chemically amplified positive resist composition comprising a resin comprising acid labile group-containing recurring units and a photoacid generator onto a substrate, drying to form a resist film, exposing the resist film to high-energy radiation through a phase shift mask having a lattice-like array of shifters, PEB, developing to form a positive pattern, illuminating or heating the positive pattern to eliminate acid labile groups for increasing alkaline solubility and to induce crosslinking for imparting solvent resistance, coating a reversal film, and dissolving away the positive pattern in an alkaline wet etchant to form a pattern by way of positive/negative reversal.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: June 5, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Kazuhiro Katayama
  • Patent number: 8192922
    Abstract: A method of optical fabrication comprises coating a substrate with a photocuring material, controlling the application of light to the photocuring material so as to control the intensity and pattern of the light both in-plane and out of plane, and developing the photocuring material.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: June 5, 2012
    Assignee: Carnegie Mellon University
    Inventors: Chao-Min Cheng, Bin Li, Philip R. LeDuc
  • Patent number: 8187798
    Abstract: It is disclosed a method of forming fine patterns comprising: subjecting a substrate having photoresist patterns to a hydrophilic treatment, covering the substrate having photoresist patterns with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: May 29, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshiki Sugeta, Fumitake Kaneko, Toshikazu Tachikawa
  • Patent number: 8187796
    Abstract: An optical waveguide device is provided which is capable of reducing light propagation losses in the cores of an optical waveguide when the optical waveguide is formed on a surface of a substrate, regardless of the type of substrate. A photosensitive resin layer (4A) for over cladding layer formation is made of a photosensitive resin composition of a non-solvent type, and is heated prior to the exposure thereof to light. This causes interface portions between the cores (3) and the photosensitive resin layer (4A) to be formed into mixed layers (5). This reduces light propagation losses.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: May 29, 2012
    Assignee: Nitto Denko Corporation
    Inventors: Takami Hikita, Junichi Fujisawa
  • Patent number: 8178263
    Abstract: A method of increasing a depth of focus of a lithographic apparatus is disclosed. The method includes forming diffracted beams of radiation using a patterning device pattern; and transforming a phase-wavefront of a portion of the diffracted beams into a first phase-wavefront having a first focal plane for the lithographic apparatus, and a second phase-wavefront having a second, different focal plane, wherein the transforming comprises: subjecting a phase of a first portion of a first diffracted beam and a phase of a corresponding first portion of a second diffracted beam to a phase change which results in an at least partial formation of the first phase-wavefront, and subjecting a phase of a second portion of the first diffracted beam and a phase of a corresponding second portion of the second diffracted beam to a phase change which results in an at least partial formation of the second phase-wavefront.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: May 15, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Laurentius Cornelius De Winter, Jozef Maria Finders
  • Patent number: 8178281
    Abstract: It is an object of the present invention to improve sensitivity of a resist made from hydrosilsesquioxane when a pattern is formed in the resist by irradiation with a charged particle beam. The method for improving sensitivity of a resist of the present invention is a method to improve sensitivity of a resist formed from hydrosilsesquioxane to a charged particle beam when a pattern is formed in the resist by irradiation with a charged particle beam, and is characterized by including prebaking a resist formed from hydrosilsesquioxane and applied onto a substrate at t° C. (20?t?300), applying a composition containing a water-soluble conductive polymer compound to a charged particle beam irradiation surface of the prebaked resist, baking the thus applied composition at T° C. (0?T<t+40) and then irradiating the resist with a charged particle beam.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: May 15, 2012
    Assignee: Showa Denko K.K.
    Inventors: Hirofumi Ohki, Ayako Nishioka, Takashi Ohkubo
  • Patent number: 8173354
    Abstract: A sulfonium salt having a triphenylsulfonium cation and a sulfite anion within the molecule is best suited as a photoacid generator in chemically amplified resist compositions. Upon exposure to high-energy radiation, the sulfonium salt generates a sulfonic acid, which facilitates efficient scission of acid labile groups in chemically amplified positive resist compositions. Because of substantial non-volatility under high vacuum conditions in the EB or EUV lithography, the risk of the exposure tool being contaminated is minimized.
    Type: Grant
    Filed: July 7, 2010
    Date of Patent: May 8, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Masaki Ohashi
  • Patent number: 8173358
    Abstract: A method of forming fine patterns of a semiconductor device includes forming a plurality of first mask patterns on a substrate such that the plurality of first mask patterns are separated from one another by a space located therebetween, in a direction parallel to a main surface of the substrate, forming a plurality of capping films formed of a first material having a first solubility in a solvent on sidewalls and a top surface of the plurality of first mask patterns. The method further includes forming a second mask layer formed of a second material having a second solubility in the solvent, which is less than the first solubility, so as to fill the space located between the plurality of first mask patterns, and forming a plurality of second mask patterns corresponding to residual portions of the second mask layer which remain in the space located between the plurality of first mask patterns, after removing the plurality of capping films and a portion of the second mask layer using the solvent.
    Type: Grant
    Filed: April 29, 2009
    Date of Patent: May 8, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyoung-hee Kim, Yool Kang, Seong-woon Choi, Jin-young Yoon
  • Patent number: 8173356
    Abstract: A three dimensional scaffold having a three dimensional structure is easily fabricated by employing a lithography process used in a semiconductor manufacturing process. A method of fabricating the same is also disclosed have a conformational structure. In the method of fabricating a three dimensional scaffold having the conformational structure according to the present invention, a first pattern is first formed on a substrate by using a first photoresist through a lithography process, and a temporary photoresist is coated on a whole surface of the substrate. Next, a temporary pattern exposing the upper part of the first pattern to the surface is formed by using the lithography process, and a second photoresist contacting the first pattern via the temporary pattern is coated on the whole surface of the substrate.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: May 8, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chin Sung Park, Joon Ho Kim
  • Patent number: 8173348
    Abstract: A method for forming a pattern contains (1) a step of forming an underlayer film containing (A) a radiation-sensitive acid generator capable of generating an acid upon exposure to radiation rays or (B) a radiation-sensitive base generator capable of generating a base upon exposure to radiation rays on a substrate; (2) a step of irradiating the underlayer film with radiation rays through a mask with a predetermined pattern to obtain an exposed underlayer film portion having been selectively exposed through the predetermined pattern; (3) a step of forming (C) an organic thin film on the underlayer film so as to attain chemical bonding of the exposed underlayer film portion with the organic thin-film formed on the exposed underlayer film portion; and (4) a step of removing the organic thin film formed on areas of the underlayer film other than the exposed underlayer film portion.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: May 8, 2012
    Assignee: JSR Corporation
    Inventors: Daisuke Shimizu, Hikaru Sugita, Nobuji Matsumura, Toshiyuki Kai, Tsutomu Shimokawa
  • Patent number: 8168375
    Abstract: Disclosed is a patterning method including: forming a first film on a substrate; forming a multi-layered film including a resist film on the first film; forming a patterned resist film having a preset pattern by patterning the resist film by photolithography; forming a silicon oxide film different from the first film on the patterned resist film and the first film by alternately supplying a first gas containing organic silicon and a second gas containing an activated oxygen species to the substrate; etching the silicon oxide film to thereby form a sidewall spacer on a sidewall of the patterned resist film; removing the patterned resist film; and processing the first film by using the sidewall spacer as a mask.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Nakajima, Kazuhide Hasebe, Pao-Hwa Chou, Mitsuaki Iwashita, Reiji Niino
  • Patent number: 8168378
    Abstract: In the present invention, a plurality of heat treatment plates are provided side by side in a linear form on a base of a heat treatment apparatus in a coating and developing treatment system. In the heat treatment apparatus, three transfer member groups are provided which transfer a substrate in zones between adjacent heat treatment plates. At the time when performing a pre-baking treatment in the heat treatment apparatus, the substrate is transferred in order to the heat treatment plates at the same temperature, whereby the heat treatment is dividedly performed on the heat treatment plates. According to the present invention, substrates are subjected to heat treatment along the same route, so that the thermal histories are made uniform among the substrates.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Takahisa Otsuka, Tsuyoshi Shibata
  • Patent number: 8168366
    Abstract: The present invention relates to a radiation sensitive photoresist composition. The composition comprises a polymer comprising at least two monomers. The first monomer has an acid cleavable tertiary ester group. The second monomer is an acidic monomer. The acid cleavable ester group of the polymer has a surprisingly low activation energy which results in improved resist images in lithographic processes.
    Type: Grant
    Filed: October 16, 2008
    Date of Patent: May 1, 2012
    Assignee: International Business Machines Corporation
    Inventors: Robert David Allen, Richard Anthony DiPietro, Ratnam Sooriyakumaran, Hoa D Truong
  • Patent number: 8163466
    Abstract: A method forms a first patterned mask (comprising rectangular features and/or rounded openings) on a planar surface and forms a second patterned mask on the first patterned mask and the planar surface. The second patterned mask covers protected portions of the first patterned mask and the second patterned mask reveals exposed portions of the first patterned mask. The method treats the exposed portions of the first patterned mask with a chemical treatment that reduces the size of the exposed portions to create an altered first patterned mask.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: April 24, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Wai-Kin Li
  • Patent number: 8163461
    Abstract: The invention provides various photoacid generator compounds and ionic components thereof. Photoresist compositions that include the ions and non-ionic photoacid generator compounds are also provided. The invention further provides methods of making and using the photoacid generator compounds and photoresist compositions disclosed herein. The compounds and compositions are useful as photoactive components in chemically amplified resist compositions for use in, for example, various microfabrication applications.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: April 24, 2012
    Assignee: Cornell Research Foundation, Inc.
    Inventors: Christopher K. Ober, Yi Yi
  • Patent number: 8158327
    Abstract: A compound represented by the following formula (1) is provided: wherein R1 represents a hydrogen atom, a trifluoromethyl group, an alkyl group, or an alkoxy group; and A represents a group represented by the following formula (2) or formula (3): wherein R2, R3, R4, R5 and R6 each independently represent a substituted or unsubstituted alkyl group, a substituted or unsubstituted allyl group, a substituted or unsubstituted perfluoroalkyl group, a substituted or unsubstituted benzyl group, or a substituted or unsubstituted aryl group; and two or more of R2, R3 and R4 may be linked to each other to form a saturated or unsaturated carbon ring or a saturated or unsaturated heterocyclic ring. The chemically amplified resist composition comprising a polymer compound which is produced from the compound of formula 1 according to the present invention provides a chemically amplified resist sensitive to far-ultraviolet radiation, which is represented by KrF excimer laser or ArF excimer laser.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: April 17, 2012
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Hyun-Sang Joo, Joo-Hyeon Park, Jung-Hoon Oh, Dae-Hyeon Shin
  • Patent number: 8158332
    Abstract: A method of fabricating a semiconductor device according to an embodiment includes: forming a first resist pattern made of a first resist material on a workpiece material; irradiating an energy beam onto the first resist pattern, the energy beam exposing the first resist material to light; performing a treatment for improving resistance the first resist pattern after irradiation of the energy beam; forming a coating film on the workpiece material so as to cover the first resist pattern; and forming a second resist pattern made of a second resist material on the coating film after the treatment.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: April 17, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kentaro Matsunaga, Tomoya Oori, Eishi Shiobara
  • Patent number: 8153351
    Abstract: Photolithography methods using BARCs having graded optical properties are provided. In an exemplary embodiment, a photolithography method comprises the steps of depositing a BARC overlying a material to be patterned, the BARC having a refractive index and an absorbance. The BARC is modified such that, after the step of modifying, values of the refractive index and the absorbance are graded from first values at a first surface of the BARC to second values at a second surface of the BARC. The step of modifying is performed after the step of depositing.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: April 10, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas I. Wallow, Jongwook Kye