Including Heating Patents (Class 430/330)
  • Patent number: 8465901
    Abstract: Methods of adjusting dimensions of resist patterns are provided. The methods allow for control of photoresist pattern dimensions and find particular applicability in resist pattern rework in semiconductor device manufacturing.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: June 18, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8460851
    Abstract: A salt represented by the formula (I): wherein Q1 and Q2 each independently represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents *—CO—O-La- or *—CH2—O-Lb-, * represents a binding position to —C(Q1)(Q2)-, La and Lb independently represent a C1-C15 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO—, ring W1 represents a C2-C36 nitrogen-containing heterocyclic group in which one or more —CH2— can be replaced by —O—, and Z1? represents an organic counter ion.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: June 11, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Satoshi Yamaguchi, Koji Ichikawa
  • Patent number: 8460856
    Abstract: A photosensitive material for use in semiconductor manufacture comprises a copolymer that includes a plurality of photoresist chains and a plurality of hydrophobic chains, each hydrophobic chain attached to the end of one of the photoresist chains. The copolymer in response to externally applied energy will self-assemble to a photoresist layer and a hydrophobic layer.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: June 11, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Wei Yeh, Jen-Chieh Shih, Jian-Hong Chen
  • Patent number: 8455176
    Abstract: Developable bottom antireflective coating compositions are provided.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: June 4, 2013
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Francis Houlihan, Lin Zhang, Alberto Dioses, Meng Li
  • Patent number: 8450045
    Abstract: A pattern forming method includes providing and curing a under-layer film containing a radiation-sensitive acid generator which generates an acid upon exposure to radiation on a substrate. The under-layer film is irradiated with radiation through a mask to cause an acid to be selectively generated in an exposed area of the under-layer film. An upper-layer film which does not contain a radiation-sensitive acid generator and which contains a composition capable of polymerizing or crosslinking by an action of an acid is provided. A cured film is provided by polymerization or crosslinking selectively in an area of the upper-layer film corresponding to the exposed area of the under-layer film in which the acid has been generated. An area of the upper-layer film corresponding to an area of the under-layer film in which the acid has not been generated is removed.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: May 28, 2013
    Assignee: JSR Corporation
    Inventors: Hikaru Sugita, Nobuji Matsumura, Daisuke Shimizu, Toshiyuki Kai, Tsutomu Shimokawa
  • Patent number: 8450050
    Abstract: A method for manufacturing a recording head including forming a flow-channel side-wall forming layer which contains a photosensitive resin, on a substrate having ejection energy generating elements and wiring thereon; exposing the flow-channel side-wall forming layer to light, and optically determining a flow channel; forming a shape stabilizing layer which contains a photosensitive resin; forming an ejection orifice forming layer which contains a photopolymerization initiator and a negative photosensitive resin; exposing the ejection orifice forming layer to light, and optically determining an ejection orifice; and developing the ejection orifice forming layer, shape stabilizing layer, and flow-channel side-wall forming layer, in the order named. The photosensitive resin in the shape stabilizing layer is a material to be cured by a component that is produced upon the exposure of the ejection orifice forming layer and derives from the photopolymerization initiator.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: May 28, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keiji Matsumoto, Kazuhiro Asai, Tetsuro Honda, Kunihito Uohashi, Shuji Koyama, Masaki Ohsumi
  • Patent number: 8450043
    Abstract: The invention concerns a method for patterning a surface of a material. A substrate having a polymer film thereon is provided. The polymer is a selectively reactive polymer (e.g., thermodynamically unstable): it is able to unzip upon suitable stimulation. A probe is used to create patterns on the film. During the patterning, the film is locally stimulated for unzipping polymer chains. Hence, a basic idea is to provide a stimulus to the polymeric material, which in turn spontaneously decomposes e.g., into volatile constituents. For example, the film is thermally stimulated in order to break a single bond in a polymer chain, which is sufficient to trigger the decomposition of the entire polymer chain.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel J. Coady, Urs T. Duerig, Jane E. Frommer, Kazuki Fukushima, James L. Hedrick, Armin W. Knoll
  • Patent number: 8449293
    Abstract: A method for patterning a substrate with extreme ultraviolet (EUV) radiation is provided. The method includes contacting a surface of the substrate with at least one surface modification agent that reacts with and bonds to the surface 402 of the substrate 401 to provide a modified surface. A layer of photoresist is formed on the modified surface, followed by exposing the layer of photoresist to a pattern of EUV radiation. The surface modification agent has a general formula: X-L-Z, where X is a leaving group; L is a linkage group including a substituted or un-substituted carbon chain having 1 to 20 carbons, a sulfur moiety, a silicon moiety, or combinations thereof; and Z is at least one of an acid functional group, a photoactive acid generator group or a halide.
    Type: Grant
    Filed: April 30, 2010
    Date of Patent: May 28, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Benjamin M. Rathsack, Steven Scheer, Mark H. Somervell
  • Patent number: 8445183
    Abstract: A method of manufacturing a semiconductor device, includes: a first resist film formation process of forming a first resist film on a processing target surface using a positive-type photoresist material; a first resist pattern formation process of forming a first resist pattern by performing development after exposure in which light is irradiated onto the first resist film; a second resist film formation process of forming a second resist film on the processing target surface, where the first resist pattern is formed, using a photoresist material; and a second resist pattern formation process of forming a second resist pattern by performing exposure in which light is irradiated onto the second resist film and then performing development. The method further includes an insolubilization process for insolubilizing the first resist pattern against a developer and a solvent of a photoresist material used in the second resist pattern formation process.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: May 21, 2013
    Assignee: Sony Corporation
    Inventor: Hiroyuki Miyamoto
  • Patent number: 8435728
    Abstract: A method and system for patterning a substrate using a radiation-sensitive material is described. The method and system include forming a layer of radiation-sensitive material on a substrate, exposing the layer of radiation-sensitive material to a pattern of radiation, and then performing a post-exposure bake following the exposing. The imaged layer of radiation-sensitive material is then developed to remove either a region having high radiation exposure or a region having low radiation exposure to form radiation-sensitive material lines. An exposure gradient within the radiation-sensitive material lines is then removed, followed by slimming the radiation-sensitive material lines.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: May 7, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, Benjamin M. Rathsack, Mark H. Somervell
  • Patent number: 8435719
    Abstract: A process for tuning the water contact angle of an immersion photoresist layer or immersion topcoat layer by modification of the top surface. The surface modification is a layer of fluorinated polymer.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: May 7, 2013
    Assignee: International Business Machines Corporation
    Inventor: Dario Leonardo Goldfarb
  • Patent number: 8431329
    Abstract: Self-aligned spacer multiple patterning method are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: April 30, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8431323
    Abstract: A fluorinated monomer of cyclic acetal structure has formula (1) wherein R is a C1-C20 alkyl group which may be substituted with halogen or separated by oxygen or carbonyl, and Z is a divalent organic group which forms a ring with alkylenoxy and contains a polymerizable unsaturated group. A polymer derived from the fluorinated monomer may be endowed with appropriate water repellency, water sliding property, lipophilicity, acid lability and hydrolyzability and is useful in formulating a protective coating composition and a resist composition.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: April 30, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Satoshi Shinachi, Takeshi Kinsho, Koji Hasegawa, Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Patent number: 8431330
    Abstract: A surface-treating agent for forming a resist pattern, includes: a compound represented by formula (1) as defined in the specification, wherein the surface-treating agent is used in a step between a formation of a first resist pattern on a first resist film and a formation of a second resist film on the first resist pattern to form a second resist pattern, and a pattern-forming method uses the surface-treating agent.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: April 30, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Wataru Hoshino, Hideaki Tsubaki, Masahiro Yoshidome
  • Patent number: 8431331
    Abstract: A method of forming fine patterns of a semiconductor device according to a double patterning process that uses acid diffusion is provided. In this method, a plurality of first mask patterns are formed on a substrate so as to be separated from one another. A capping film including an acid source is formed on sidewalls and an upper surface of each of the plurality of first mask patterns. A second mask layer is formed on the capping films. A plurality of acid diffused regions are formed within the second mask layer by diffusing acid obtained from the acid source from the capping films into the second mask layer. A plurality of second mask patterns are formed of residual parts of the second mask layer which remain in the first spaces after removing the acid diffused regions of the second mask layer.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: April 30, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yool Kang, Suk-joo Lee, Jung-hyeon Lee, Shi-yong Yi
  • Patent number: 8431325
    Abstract: A compound of the present invention is represented by the formula (A); wherein R1 represents a hydrogen atom or a C1 to C6 alkyl group; Z1 represents a single bond, —CO—O—* or —CO—O—(CH2)k—CO—O—*; Z2 represents a single bond, *—O—CO—, *—CO—O—, *—O—(CH2)k—CO—, *—CO—(CH2)k—O—, *—O—(CH2)k—CO—O—, *—O—CO—(CH2)k—O— or *—O—CO—(CH2)k—O—CO—; k represents an integer of 1 to 6; * represents a binding position to W; W represents a C4 to C36 (n+1) valent alicyclic hydrocarbon group or a C6 to C18 (n+1) valent aromatic hydrocarbon group, one or more hydrogen atoms contained in the alicyclic hydrocarbon group and the aromatic hydrocarbon group may be replaced by a halogen atom, a C1 to C12 alkyl group, a C1 to C12 alkoxy group, a C2 to C4 acyl group or —OR10; R10 represents a hydrogen atom or a group represented by the formula (R2-2); R2 represents a hydrogen atom, a group represented by the formula (R2-1) or (R2-2); n represents an integer of 1 to 3; R4, R5 and R6 independently represent a C1 to C12 hydrocarbon group
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: April 30, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Kazuhiko Hashimoto, Koji Ichikawa
  • Patent number: 8431326
    Abstract: The present invention provides a salt represented by the formula (I): wherein Q1 and Q2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, L1 represents a C1-C17 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO—, ring W represents a C3-C36 aliphatic ring in which one or more —CH2— can be replaced by —O—, —S—, —CO— or —SO2— and in which one or more hydrogen atoms can be replaced by a hydroxyl group, a C1-C12 alkyl group, a C1-C12 alkoxy group, a C3-C12 alicyclic hydrocarbon group or a C6-C10 aromatic hydrocarbon group, Rf is independently in each occurrence a fluorine atom or a C1-C6 fluorinated alkyl group, n represents an integer of 1 to 10, and Z+ represents an organic counter ion.
    Type: Grant
    Filed: October 3, 2011
    Date of Patent: April 30, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Mitsuyoshi Ochiai, Takashi Hiraoka
  • Patent number: 8426105
    Abstract: A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist-modifying composition comprises a carbamate compound and a solvent.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: April 23, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Masashi Iio, Kazuhiro Katayama, Jun Hatakeyama, Tsunehiro Nishi, Takeshi Kinsho
  • Patent number: 8426113
    Abstract: The present invention provides chemically amplified silsesquioxane polymers for preparing masks using e-beam lithography. The silsesquioxane polymers have reactive sidechains that in the presence of an acid undergo acid catalyzed rearrangement to generate reactive functionalities that crosslink to form Si—O—Si bonds. The reactive side-chains comprise ?- and ?-substituted alkyl groups bound to the silicon of the silsesquioxane polymer. The substituent of the ?- and ?-substituted alkyl group is an electron withdrawing group. Resists generated with the chemically amplified silsesquioxane polymers of the present invention and imaged with e-beams have resolution of ?60 nm line/space.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: April 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Luisa Dominica Bozano, Blake W. Davis, Alshakim Nelson, Jitendra Singh Rathore, Linda Karin Sundberg
  • Patent number: 8426106
    Abstract: A Photoresist composition comprising a polymer comprising a structural unit derived from a compound represented by the formula (I): wherein R1 represents a hydrogen atom or a methyl group, R2 represents a C6-C12 aromatic hydrocarbon group which can have one or more substituents, R3 represents a cyano group or a C1-C12 hydrocarbon group which can have one or more substituents and which can contain one or more heteroatoms, A1 represents a single bond, —(CH2)g—CO—O—* or —(CH2)h—O—CO—(CH2)i—CO—O—* wherein g, h and i each independently represent an integer of ?1 to 6 and * represents a binding position to the nitrogen atom, a resin having an acid-labile group and being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid, and an acid generator.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: April 23, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Tatsuro Masuyama, Kazuhiko Hashimoto, Junji Shigematsu
  • Patent number: 8426112
    Abstract: There is provided a resist underlayer film for lithography causing no intermixing with a photoresist and having a dry etching rate higher than that of the photoresist, and a resist underlayer film forming composition for forming the underlayer film. A resist underlayer film forming composition for lithography comprising: a polymer containing a partial structure of Formula (1): where X1 is a group of Formula (2), Formula (3), Formula (4) or Formula (4-1): and a solvent. The polymer may contain, besides the partial structure of Formula (1), a partial structure of Formula (5): (R1)a(R3)bSi(O—)4?(a+b)??Formula (5) and/or a partial structure of Formula (6): [(R4)cSi(O—)3?c]2Y??Formula (6).
    Type: Grant
    Filed: September 10, 2008
    Date of Patent: April 23, 2013
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Makoto Nakajima, Wataru Shibayama, Yuta Kanno
  • Patent number: 8426115
    Abstract: A pattern is formed by coating a first positive resist composition comprising a copolymer comprising lactone-containing recurring units, acid labile group-containing recurring units and carbamate-containing recurring units, and a photoacid generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for inactivation to acid, coating a second positive resist composition comprising a C3-C8 alcohol and an optional C6-C12 ether onto the first resist pattern-bearing substrate to form a second resist film, patternwise exposure, PEB, and development to form a second resist pattern.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: April 23, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Youichi Ohsawa, Masaki Ohashi
  • Patent number: 8420303
    Abstract: A PEB unit has a first heat plate and a second heat plate. After an exposure process for a resist film for EUV on a wafer and before a development process, the PEB unit heats the wafer through the first heat plate at a first heating temperature. A heating time through the first heat plate is not less than 10 seconds and not more than 30 seconds. Thereafter, the PEB unit heats the wafer through the second heat plate at a second heating temperature lower than the first heating temperature. A temperature difference between the first heating temperature and the second heating temperature is not less than 20° C. and not more than 60° C.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiaki Yamada, Keiichi Tanaka, Hitoshi Kosugi
  • Patent number: 8420294
    Abstract: The present invention provides a salt represented by the formula (I): wherein R1 and R2 independently each represent a C1-C6 alkyl group or R1 and R2 are bonded each other to form a C5-C20 aliphatic ring together with the carbon atom to which they are bonded, R3 and R4 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, X1 represents a C1-C17 divalent saturated hydrocarbon group in which one or more —CH2— can be replaced by —O— or —CO— and which may be substituted with one or more fluorine atoms, and Z1+ represents an organic counter ion.
    Type: Grant
    Filed: November 25, 2011
    Date of Patent: April 16, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Yukako Anryu, Shingo Fujita
  • Patent number: 8420299
    Abstract: It is therefore an object of the present invention to provide a forming method for a resist pattern to reduce a resist residue in forming the resist pattern on a step whose gradient angle is equal to 90 degrees or more. A forming method for a resist pattern to reduce a resist residue on a step is provided, the method comprising: forming resist film with coating resist containing photo-acid-generator on a step formed on a substrate, where gradient angle of the step is equal to 90 degrees or more, exposing said resist film and generating acid from said photo-acid-generator.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: April 16, 2013
    Assignee: TDK Corporation
    Inventors: Hisayoshi Watanabe, Susumu Aoki
  • Patent number: 8420292
    Abstract: A polymer comprising recurring units of formula (1) and having a solubility in alkaline developer which increases under the action of an alkaline developer is provided. The polymer has transparency to radiation of up to 200 nm and improved water repellency, water slip, acid lability and hydrolysis and is useful as an additive polymer to formulate a resist composition. R1 is H, F, methyl, or trifluoromethyl, R2 is a monovalent fluorinated hydrocarbon group, An is a (n+1)-valent hydrocarbon or fluorinated hydrocarbon group, and n is 1, 2 or 3.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: April 16, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Takeru Watanabe, Takeshi Sasami, Yuuki Suka, Koji Hasegawa
  • Patent number: 8420290
    Abstract: An acetal compound of formula (1) is provided wherein R1 is H, methyl or trifluoromethyl, R2 is a monovalent C1-C10 hydrocarbon group, R3 and R4 are H or a monovalent C1-C10 hydrocarbon group, R2 and R3 may together form an aliphatic hydrocarbon ring, and X1 is a single bond or a divalent C1-C4 hydrocarbon group. A polymer comprising recurring units derived from the acetal compound is used as a base resin to formulate a resist composition which exhibits a high resolution when processed by micropatterning technology, especially ArF lithography.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: April 16, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd
    Inventors: Koji Hasegawa, Masaki Ohashi, Takeshi Kinsho, Tsunehiro Nishi, Masayoshi Sagehashi
  • Patent number: 8420289
    Abstract: An aromatic ring-containing polymer, a polymer mixture, an antireflective hardmask composition, and a method for patterning a material on a substrate, the aromatic ring-containing polymer including at least one aromatic ring-containing polymer represented by Formulae 1, 2, or 3.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 16, 2013
    Assignee: Cheil Industries, Inc.
    Inventors: Min Soo Kim, Dong Seon Uh, Chang Il Oh, Kyong Ho Yoon, Kyung Hee Hyung, Jin Kuk Lee, Jong-Seob Kim, Hwan Sung Cheon, Irina Nam, Nataliya Tokareva
  • Patent number: 8420304
    Abstract: The present invention provides a resist coating and developing apparatus, a resist coating and developing method, a resist-film processing apparatus, and a resist-film processing method, capable of reducing a line width roughness by planarizing a resist pattern. The resist coating and developing apparatus comprises: a resist-film forming part configured to coat a resist onto a substrate to form a resist film thereon; a resist developing part configured to develop the exposed resist film to obtain a patterned resist film; and a solvent-gas supply part configured to expose the resist film, which has been developed and patterned by the resist developing part, to a first solvent of a gaseous atmosphere having a solubility to the resist film. A solvent supply part supplies, to the resist film which has been exposed to the first solvent, a second solvent in a liquid state having a solubility to the resist film.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 8415083
    Abstract: This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: April 9, 2013
    Assignee: Brewer Science Inc.
    Inventors: Sam X. Sun, Hao Xu, Tony D. Flaim
  • Patent number: 8415090
    Abstract: A production method of an optical waveguide for a connector is provided, which reduces an optical coupling loss. Cores are formed in a crossing pattern, a branched pattern or a linear pattern, and then an over-cladding layer formation photosensitive resin layer is formed over the cores. In turn, a heat treatment is performed at a temperature of 70° C. to 130° C. to properly form mixed layers in interfaces between the cores and the photosensitive resin layer. By thus forming the mixed layers, the connector optical waveguide can be produced as having a reduced optical coupling loss.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: April 9, 2013
    Assignee: Nitto Denko Corporation
    Inventors: Yuichi Tsujita, Junichi Fujisawa, Kazunori Mune
  • Patent number: 8404433
    Abstract: In order to suppress variation of a resist pattern size caused by a temperature unevenness at a prebaking process, applying a resist of a positive type or a negative type on a base substrate, prebaking, exposing, post-exposure baking, and forming the resist to be a predetermined shape by developing the resist are carried out. The prebaking is carried out at a temperature equal to or more than a detachment starting temperature of a protective group of a base resin included in the resist in a case where the resist is the positive type. In a case where the resist is the negative type, the prebaking is carried out at a temperature equal to or more than a cross-linking starting temperature of a cross-linker in a base resin included in the resist.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: March 26, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Shigeharu Okaji
  • Patent number: 8394579
    Abstract: Some embodiments include methods of forming patterns in which a block copolymer-containing composition is formed over a substrate, and is then patterned to form a first mask. The block copolymer of the composition is subsequently induced into forming a repeating pattern within the first mask. Portions of the repeating pattern are then removed to form a second mask from the first mask. The patterning of the block copolymer-containing composition may utilize photolithography. Alternatively, the substrate may have regions which wet differently relative to one another with respect to the block copolymer-containing composition, and the patterning of the first mask may utilize such differences in wetting in forming the first mask.
    Type: Grant
    Filed: December 1, 2011
    Date of Patent: March 12, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Scott Sills, Dan Millward
  • Patent number: 8394577
    Abstract: In a chemically amplified resist composition comprising a base resin, an acid generator, and a solvent, 1,400-5,000 pbw of the solvent is present per 100 pbw of the base resin, and the solvent comprises at least 60 wt % of PGMEA and ethyl lactate, and 0.2-20 wt % of a high-boiling solvent. A resist pattern is formed by coating the resist composition on a substrate, prebaking, patternwise exposure, post-exposure baking, development, and heat treatment.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: March 12, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Akinobu Tanaka, Tamotsu Watanabe, Satoshi Watanabe
  • Patent number: 8394573
    Abstract: A method for reducing a photoresist critical dimension, the method comprising depositing a photoresist film on a substrate, wherein the photoresist film includes a thermal base generator; patterning the photoresist film to form a first patterned film possessing a first critical dimension; depositing a crosslinkable film over the first patterned film; heat-activating the first patterned film, either before or after depositing the crosslinkable film, to release a base in the first patterned film and cause crosslinking in the crosslinkable film in contact with the first patterned film; and developing the crosslinkable film to remove non-crosslinked soluble portions therein to form a second patterned film possessing a reduced critical dimension compared to the first critical dimension.
    Type: Grant
    Filed: September 16, 2010
    Date of Patent: March 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Kuang-Jung Chen, Wai-Kin Li, Sen Liu
  • Patent number: 8394571
    Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: March 12, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8389200
    Abstract: A pattern forming method which uses a positive resist composition comprises: (A) a fluorine-free resin capable of increasing its solubility in an alkaline developer under action of an acid; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a fluorine-containing resin having at least one group selected from the group consisting of (X) an alkali-soluble group, (XI) a group capable of decomposing under action of an alkali developer and increasing solubility of the resin (C) in an alkaline developer and (XII) a group capable of decomposing under action of an acid and increasing solubility of the resin (C) in an alkaline developer; and (D) a solvent, the method comprising: (i) a step of applying the positive resist composition to a substrate to form a resist coating; (ii) a step of exposing the resist coating to light via an immersion liquid; (iii) a step of removing the immersion liquid remaining on the resist coating; (iv) a step of heating the resist coating; an
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: March 5, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Shinichi Kanna, Haruki Inabe, Hiromi Kanda
  • Patent number: 8377631
    Abstract: Molecular glass based planarizing compositions for lithographic processing are disclosed. The processes generally include casting the planarizing composition onto a surface comprised of lithographic features, the planarizing composition comprising at least one molecular glass and at least one solvent; and heating the planarizing composition to a temperature greater than a glass transition temperature of the at least one molecular glass. Exemplary molecular glasses include polyhedral oligomeric silsesquioxane derivatives, calixarenes, cyclodextrin derivatives, and other non-polymeric large molecules.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Mark W. Hart, Ratnam Sooriyakumaran
  • Patent number: 8377634
    Abstract: This invention relates to acrylic functional resin compositions. More particularly, this invention relates to Poly [organ-co-(meth)acryloxyorgano]silsequioxane resins that are curable upon exposure to ultraviolet radiation with photo initiator or upon heating with or without a free radical generator. The resin compositions have high storage stability at room temperature and produces films that are useful as planarization layer, interlayer dielectric, passivation layer, gas permeable layer, negative photoresist, antireflective coating, conformal coating and IC packaging.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: February 19, 2013
    Assignee: Dow Corning Corporation
    Inventors: John Dean Albaugh, Gregory Scott Becker, Sina Maghsoodi, Eric Scott Moyer, Sheng Wang, Craig Rollin Yeakle
  • Patent number: 8377626
    Abstract: A method of forming a pattern and a negative-type photoresist composition, the method including forming a photoresist film on a substrate by coating a photoresist composition thereon, the photoresist composition including a polymer, a photoacid generator, and a solvent, wherein the polymer includes an alkoxysilyl group as a side chain and is cross-linkable by an acid to be insoluble in a developer; curing a first portion of the photoresist film by exposing the first portion to light, the exposed first portion being cured by a cross-linking reaction of the alkoxysilyl groups therein; and providing a developer to the photoresist film to remove a second portion of the photoresist film that is not exposed to light, thereby forming a photoresist pattern on the substrate.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: February 19, 2013
    Assignees: Samsung Electronics Co., Ltd., Korea Advanced Institute of Science and Technology
    Inventors: Kyoung-Mi Kim, Jin-Baek Kim, Ji-Young Park, Young-Ho Kim
  • Patent number: 8372575
    Abstract: According to one embodiment, an ultraviolet-curing resin material for pattern transfer contains at least one of 2-methyl-2-adamantyl acrylate, 2-ethyl-2-adamantyl acrylate, and 1,3-adamantanedimethanol diacrylate, isobornyl acrylate, polyfunctional acrylate, and a polymerization initiator, or contains at least one of the acrylates described above, a polymerization initiator, and fluorine-based alcohol.
    Type: Grant
    Filed: March 12, 2010
    Date of Patent: February 12, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Seiji Morita, Kazuyo Umezawa, Masatoshi Sakurai
  • Patent number: 8367302
    Abstract: A method and apparatus for manufacturing a semiconductor device is disclosed. In particular, the application discloses a method that performs a lithography process using a material capable of increasing a depth of focus so as to prevent efficiency of the lithography process from being degraded due to high integration of a semiconductor device, and a pressure-type bake oven as an apparatus for forming a high refractive material on a semiconductor substrate, having advantages of reducing manufacturing costs of a semiconductor manufacturing process and increasing efficiency of the lithography process.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: February 5, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hak Joon Kim, Jun Hyung Park
  • Patent number: 8367298
    Abstract: A salt having a divalent group represented by the formula (aa): wherein Xa and Xb independently each represent —O— or —S—, Ra, Rb, Rc and Rd independently each represent a hydrogen atom, a C1-C4 alkyl group or a C1-C4 alkoxy group, and m represents 1 or 2.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: February 5, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masako Sugihara, Hiromu Sakamoto
  • Patent number: 8367981
    Abstract: A baking apparatus including a hot plate and a substrate rotation member is provided. The hot plate has a heating surface. The substrate rotation member includes a rotation ring and a plurality of support arms. The rotation ring is configured to surround the hot plate. The support arms are disposed over the heating surface of the hot plate. Each of the support arms includes a connection part and a support part, wherein the connection part is configured to connect the rotation ring and the support part, and a supporting surface of the support part for supporting the substrate is higher than the heating surface of the hot plate.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: February 5, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Chin-Cheng Yang
  • Patent number: 8361703
    Abstract: A protective coating composition comprising a polymer of acyl-protected hexafluoroalcohol structure as a base polymer, optionally in admixture with a second polymer containing sulfonic acid amine salt in recurring units is applied onto a resist film. The protective coating is transparent to radiation of wavelength up to 200 nm.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: January 29, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Jun Hatakeyama, Koji Hasegawa
  • Patent number: 8357482
    Abstract: A light absorbent for forming an organic anti-reflective layer, represented by the following formula 1 or formula 2, is provided: wherein A represents a substituted or unsubstituted, linear or branched, saturated tetravalent hydrocarbon group, a substituted or unsubstituted, linear or branched, saturated hydrocarbon group and containing one or more heteroatoms, a substituted or unsubstituted aromatic group, a substituted or unsubstituted heteroaromatic group, a substituted or unsubstituted alicyclic group, a substituted or unsubstituted heteroalicyclic group, a substituted or unsubstituted diaryl ether, a substituted or unsubstituted diaryl sulfide, a substituted or unsubstituted diaryl sulfoxide, a substituted or unsubstituted diaryl ketone, or a substituted or unsubstituted diaryl bisphenol A; R1, R2, and R3 each independently represent a hydrogen atom, a halogen atom, a substituted or unsubstituted alkyl group, a substituted or unsubstituted aryl group, a substituted or unsubstituted acetal group,
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: January 22, 2013
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Jong-Don Lee, Jun-Ho Lee, Shin-Hyo Bae, Seung-Hee Hong, Seung-Duk Cho
  • Patent number: 8354217
    Abstract: A salt represented by the formula (I-AA): wherein Q1 and Q2 each independently represent a fluorine atom or a C1-C4 perfluoroalkyl group, X1 represents a single bond etc., Y1 represents a C1-C36 aliphatic hydrocarbon group etc., A1 and A2 independently each represents a C1-C20 aliphatic hydrocarbon group etc., Ar1 represents a (m4+1)-valent C6-C20 aromatic hydrocarbon group which can have one or more substituents, B1 represents a single bond etc., m1 and m2 independently each represents an integer of 0 to 2, m3 represents an integer of 1 to 3, with the proviso that m1 plus m2 plus m3 equals 3, and m4 represents an integer of 1 to 3, and a photoresist composition comprising the salt represented by the formula (I-AA) and a resin comprising a structural unit having an acid-labile group and being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: January 15, 2013
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masako Sugihara, Tatsuro Masuyama
  • Patent number: 8349549
    Abstract: Provided are: a resist surface modifying liquid which is used as a surface treatment liquid of a resist film prior to a post exposure baking (PEB) step, and which can inhibit occurrence of defects of the resist film by reducing water repellency; and a method for forming a resist pattern using the same. A resist surface modifying liquid which is used as a surface treatment liquid prior to a post exposure baking (PEB) step of a resist film, the resist surface modifying liquid containing an acidic compound, and at least one of an alcohol-based solvent represented by a certain general formula and an ether-based solvent represented by a certain general formula.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: January 8, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Satoshi Maemori, Tomoya Kumagai
  • Patent number: 8349547
    Abstract: A lithographic method is used to fabricate porous carbon structures that can provide electrochemical electrodes having high surface area with uniform and controllable dimensions, providing enormous flexibility to tailor the electrodes toward specific applications. Metal nanoparticles deposited on the surface of the porous carbon electrodes exhibit ultra small dimensions with uniform size distribution. The resulting electrodes are rugged, electrically conductive and show excellent electrochemical behavior.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: January 8, 2013
    Assignee: Sandia Corporation
    Inventors: David Bruce Burckel, Cody M. Washburn, Ronen Polsky, Susan M. Brozik, David R. Wheeler
  • Patent number: 8343714
    Abstract: A resist film processing unit is disclosed that can improve an etching resistance of a resist film formed on a substrate. The resist film processing unit includes a light source to irradiate an ultraviolet light on a resist film patterned by a development process, a heating part configured to heat the resist film irradiated with the ultraviolet light by the light source, and a solvent processing unit configured to expose the resist film to a solvent gas including a solvent that contains a benzene ring, during or after heating of the resist film by the heating part.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Gousuke Shiraishi, Yuichiro Inatomi