Including Heating Patents (Class 430/330)
  • Patent number: 8343712
    Abstract: A method for manufacturing an inkjet recording includes forming a photo-cationic polymerizable resin layer containing a photo-cationic polymerizable resin material on a substrate; performing pattern exposure of the photo-cationic polymerizable resin layer to form a latent image of a fine pattern including an ejection port; forming a water repellent layer containing a water repellent material capable of forming a bond in reaction with the photo-cationic polymerizable resin material on the photo-cationic polymerizable resin layer; developing the photo-cationic polymerizable resin layer to thereby remove a non-exposed portion of the photo-cationic polymerizable resin layer and remove a water repellent layer portion corresponding to the non-exposed portion to thereby form the fine pattern; and curing the photo-cationic polymerizable resin layer by heat treatment to form a member provided with the fine pattern and promote a reaction of the photo-cationic polymerizable resin material and the water repellent materia
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: January 1, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yohei Hamade, Etsuko Sawada, Hiroaki Mihara, Satoshi Tsutsui
  • Patent number: 8338086
    Abstract: A method and system for patterning a substrate using a radiation-sensitive material is described. The method and system include forming a layer of radiation-sensitive material on a substrate, exposing the layer of radiation-sensitive material to a pattern of radiation, and then performing a post-exposure bake following the exposing. The imaged layer of radiation-sensitive material is then positive-tone developed to remove a region having high radiation exposure to form radiation-sensitive material lines. An exposure gradient within the radiation-sensitive material lines is then removed, followed by slimming the radiation-sensitive material lines.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: December 25, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, Benjamin M. Rathsack, Mark H. Somervell
  • Patent number: 8338083
    Abstract: Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: December 25, 2012
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8338079
    Abstract: Compositions are provided which can be used for treating photoresist patterns in the manufacture of electronic devices. The compositions allow for the formation of fine lithographic patterns and find particular applicability in semiconductor device manufacture.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: December 25, 2012
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Thomas Cardolaccia, Yi Liu
  • Patent number: 8338080
    Abstract: To thicken a resist pattern to be thickened to thereby easily form a fine pattern exceeding an exposure limit of optical source of conventional exposure devices, a process forms a resist pattern to be thickened by patterning a resist on an underlying object; applying a surfactant composition containing at least a surfactant on the resist pattern to be thickened; and applying a resist pattern thickening material containing at least a resin and a surfactant thereonto. The resist pattern to be thickened is thus thickened to form a fine pattern having a narrowed pitch.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: December 25, 2012
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Patent number: 8334089
    Abstract: For patterning during integrated circuit fabrication, an image layer is activated for forming a respective first type polymer block at each of two nearest activated areas. A layer of block copolymer is formed on the image layer, and a plurality of the first type polymer blocks and a plurality of second and third types of polymer blocks are formed on an area of the image layer between outer edges of the two nearest activated areas, from the block copolymer. At least one of the first, second, and third types of polymer blocks are removed to form a variety of mask structures.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: December 18, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shi-Yong Yi, Kyoung-Taek Kim, Hyun-Woo Kim, Dong-Ki Yoon
  • Patent number: 8329366
    Abstract: A method is described for alignment of a substrate during a double patterning process. A first resist layer containing at least one alignment mark is formed on the substrate. After the first resist layer is developed, a second resist layer is deposited over the first resist layer, leaving a planar top surface (i.e., without topography). By baking the second resist layer appropriately, a symmetric alignment mark is formed in the second resist layer with little or no offset error from the alignment mark in the first resist layer. The symmetry of the alignment mark formed in the second resist can be enhanced by appropriate adjustments of the respective thicknesses of the first and second resist layers, the coating process parameters, and the baking process parameters.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: December 11, 2012
    Assignees: ASML Netherlands B.V., ASML Holding N.V.
    Inventors: Maya Angelova Doytcheva, Mircea Dusa, Richard Johannes Franciscus Van Haren, Harry Sewell, Robertus Wilhelmus Van Der Heijden
  • Patent number: 8329384
    Abstract: A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist modifying composition comprises a base resin comprising recurring units having formula (1) wherein A1 is alkylene, R1 is H or methyl, R2 is alkyl or bond together to form a nitrogen-containing heterocycle, and an alcohol-based solvent.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: December 11, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Kazuhiro Katayama, Masashi Iio, Jun Hatakeyama, Tsunehiro Nishi, Takeshi Kinsho
  • Patent number: 8329387
    Abstract: The present invention relates to an antireflective coating composition comprising a novel polymer without an aromatic chromophore, where the polymer comprises a structural unit derived from an aminoplast and a structural unit derived from a diol, triol, dithiol, trithiol, other polyols, diacid, triacid, other polyacids, diimide or mixture thereof, where the diol, dithiol, triol, trithiol, diacid, triacid, diimide, diamide or imide-amide optionally contain one or more nitrogen and/or sulfur atoms or contain one or more alkene groups. The invention also relates to the novel polymer and a process for using the novel antireflective coating composition in a lithographic process.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: December 11, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Huirong Yao, Guanyang Lin, Jian Yin, Hengpeng Wu, Mark Neisser, Ralph Dammel
  • Patent number: 8323875
    Abstract: Disclosed is a method for forming banks during the fabrication of electronic devices incorporating an organic semiconductor material that includes preparing an aqueous coating composition having at least a water-soluble polymer, a UV curing agent and a water-soluble fluorine compound. This coating composition is applied to a substrate, exposed using UV radiation and then developed using an aqueous developing composition to form the bank pattern. Because the coating composition can be developed using an aqueous composition rather than an organic solvent or solvent system, the method tends to preserve the integrity of other organic structures present on the substrate. Further, the incorporation of the fluorine compound in the aqueous solution provides a degree of control over the contact angles exhibited on the surface of the bank pattern and thereby can avoid or reduce subsequent surface treatments.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: December 4, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Bon Won Koo, Joon Yong Park, Jung Seok Hahn, Joo Young Kim, Kook Min Han, Sang Yoon Lee
  • Patent number: 8323870
    Abstract: The present disclosure provides a resist utilized in a photolithography patterning process. The resist includes a polymeric material having a plurality of zipper molecules, each including a first zipper portion and a second zipper portion, wherein the first and second zipper portions each include a plurality of zipper branches bonded together in pairs and cleavable to one of thermal energy, radiation energy, and chemical reaction.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: December 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fong-Cheng Lee, Ching-Yu Chang
  • Patent number: 8318407
    Abstract: Devices having a thin film or laminate structure comprising hafnium and/or zirconium oxy hydroxy compounds, and methods for making such devices, are disclosed. The hafnium and zirconium compounds can be doped, typically with other metals, such as lanthanum. Examples of electronic devices or components that can be made include, without limitation, insulators, transistors and capacitors. A method for patterning a device using the materials as positive or negative resists or as functional device components also is described. For example, a master plate for imprint lithography can be made. An embodiment of a method for making a device having a corrosion barrier also is described. Embodiments of an optical device comprising an optical substrate and coating also are described. Embodiments of a physical ruler also are disclosed, such as for accurately measuring dimensions using an electron microscope.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: November 27, 2012
    Assignee: State of Oregon acting by and through the State Board of Higher Education on behalf of Oregon State University
    Inventors: Douglas A. Keszler, Jeremy Anderson, Peter A. Hersh, Jason K. Stowers
  • Patent number: 8318427
    Abstract: Protective groups which may be cleaved with an activatable deprotecting reagents are employed to achieve a highly sensitive, high resolution, combinatorial synthesis of pattern arrays of diverse polymers. In preferred embodiments of the instant invention, the activatable deprotecting reagent is a photoacid generator and the protective groups are DMT for nucleic acids and tBOC for amino acids. This invention has a wide variety of applications and is particularly useful for the solid phase combinatorial synthesis of polymers.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: November 27, 2012
    Assignee: Affymetrix, Inc.
    Inventors: Robert G. Kuimelis, Glenn H. McGall, Martin J. Goldberg, Guangyu Xu
  • Patent number: 8318403
    Abstract: A salt represented by the formula (I-CC): wherein Q1 and Q2 each independently represent a fluorine atom etc., X1 represents a single bond etc., Y1 represents a C1-C36 aliphatic hydrocarbon group etc., A1 and A2 independently each represents a C1-C20 aliphatic hydrocarbon group etc., Ar1 represents a (m4+1)-valent C6-C20 aromatic hydrocarbon group which can have one or more substituents, B1 represents a single bond etc., B2 represents a group capable of being eliminated by the action of an acid, m1 and m2 independently each represents an integer of 0 to 2, m3 represents an integer of 1 to 3, with the proviso that m1 plus m2 plus m3 equals 3, and m4 represents an integer of 1 to 3, and a photoresist composition comprising the salt represented by the formula (I-CC) and a resin comprising a structural unit having an acid-labile group and being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: November 27, 2012
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Masako Sugihara, Tatsuro Masuyama
  • Patent number: 8318410
    Abstract: It is an object to provide a resist underlayer film forming composition having a selection ratio of dry etching rate larger than that of a resist film and exhibiting a low k value and a high n value at a short wavelength such as that of an ArF excimer laser, and enabling the formation of a resist pattern having a desired shape. When the composition is produced or used, it is required that odor due to a raw material monomer causes no problem. The object is solved by a resist underlayer film forming composition for lithography containing a polymer having in backbone thereof, a disulfide bond (S—S bond), and a solvent. The polymer may be a product of a reaction between at least one type of compound (diepoxy compound) containing two epoxy groups and at least one type of dicarboxylic acid containing a disulfide bond.
    Type: Grant
    Filed: January 23, 2009
    Date of Patent: November 27, 2012
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yoshiomi Hiroi, Tomohisa Ishida, Yoshihito Tsukamoto
  • Patent number: 8318401
    Abstract: Disclosed is a photosensitive resin composition, comprising 0.1 to 20 parts by weight of a polygonal oligomeric silsesquioxane derivative, and 5 to 30 parts by weight of a compound generating acid by light, based on 100 parts by weight of a polyamide derivative.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: November 27, 2012
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Seok Chan Kang, Jin Han Lee
  • Patent number: 8313891
    Abstract: A method for making printed circuits and printed circuit boards which includes coating a non-metallized substrate and plating an image of a desired circuit design directly onto the coated substrate without the need to image the circuit design on an intermediate silver halide polyester film or diazo and utilizing existing imaging, developing and etching subtractive techniques in conventional printed circuit board processing. One exemplary embodiment of the method for making printed circuit boards includes coating a non-metallized substrate with a palladium based material including a ferric based solution combined with palladium.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: November 20, 2012
    Assignee: VectraOne Technologies, LLC
    Inventor: Steven Lee Dutton
  • Patent number: 8304149
    Abstract: A photosensitive polymer composition, having (a) a polymer selected from polyimide precursors and polyimides having an acid group protected by a protecting group and having no amino group (—NH2) at the end; and (b) a compound that generates an acid when exposed to light and capable of deprotecting the protecting group from the acid group, is employed to form layers of a semiconductor device.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: November 6, 2012
    Assignees: Hitachi Chemical Dupont Microsystems Ltd., Hitachi Chemical Dupont Microsystems L.L.C.
    Inventors: Masataka Nunomura, Masayuki Ooe, Hajime Nakano, Yoshiko Tsumaru, Takumi Ueno
  • Patent number: 8304164
    Abstract: The present invention provides a photoresist composition comprising: at least one selected from the group consisting of a monomer represented by the formula (I): wherein R1 represents a hydrogen atom or a methyl group, W1 represents a C3-C20 divalent saturated cyclic hydrocarbon group, A1 represents a single bond or *-O—CO—W1— wherein * represents a binding position to W1?N— and W1 represents a C1-C10 divalent saturated hydrocarbon group, a polymer consisting of a structural unit derived from the monomer represented by the formula (I) and a polymer consisting of a structural unit derived from the monomer represented by the formula (I) and a structural unit derived from a monomer represented by the formula (II): wherein R3 represents a hydrogen atom or a methyl group, A2 represents a single bond or *-O—CO—(CH2)n— wherein * represents a binding position to R4—, n represents an integer of 1 to 7 and R4 represents a C3-C20 saturated cyclic hydrocarbon group, a resin having an acid-labile group
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 6, 2012
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Tatsuro Masuyama, Yuichi Mukai, Masahiko Shimada
  • Patent number: 8304160
    Abstract: A photosensitive resin composition for an interlayer insulating film or a protective film of a substrate for circuit formation, which includes a polymer (a) having a structural unit shown by the formula (A) and a compound (b) which generates a radical when irradiated with active rays and has a structure shown by the following formula (B).
    Type: Grant
    Filed: April 13, 2010
    Date of Patent: November 6, 2012
    Assignee: Hitachi Chemical Dupont Microsystems, Ltd.
    Inventors: Tomonori Minegishi, Rika Nogita, Dai Kawasaki, Keiko Suzuki, Taku Konno
  • Patent number: 8304179
    Abstract: The present disclosure provides a method for manufacturing a semiconductor device. The method includes coating a photoresist on a substrate. The photoresist is exposed to radiation. The radiation exposed photoresist is baked. The radiation exposed and baked photoresist is developed to create an image pattern. The image pattern is treated with a treating material. An ion implantation process is performed to the substrate and the treated image pattern. The image pattern is stripped from the substrate. A carbon atom ratio of the treating material is less than a carbon atom ratio of the photoresist.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Patent number: 8304176
    Abstract: Provided is a method of manufacturing a liquid ejection head, the liquid ejection head including: a substrate having an energy generating element that generates energy for ejecting liquid; and a flow path forming member having, on an upper side of the energy generating element, an ejection orifice for ejecting the liquid and a bubble generating chamber communicated with the ejection orifice, the method including: preparing a negative photosensitive resin as a material constituting the flow path forming member; and performing first exposure treatment for forming a first image constituting a side wall of the bubble generating chamber and second exposure treatment for forming a second image constituting a side wall of the ejection orifice so that a side wall of the first image and a side wall of the second image cross each other diagonally.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: November 6, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventor: Isamu Horiuchi
  • Patent number: 8304161
    Abstract: A silsesquioxane resin comprised of the units (Ph(CH2)rSiO(3-x)/2(OR?)x)m, (HSiO(3-x)/2(OR?)x)n?(MeSiO(3-x)/2(OR?)x)o?(RSiO(3-x)/2(OR?)x)p, (R1SiO(3-x)/2(OR?)x)q where Ph is a phenyl group, Me is a methyl group; R? is hydrogen atom or a hydrocarbon group having from 1 to 4 carbon atoms; R is selected from an aryl sulfonate ester group; and R1 is selected from substituted phenyl groups, ester groups, polyether groups; mercapto groups, and reactive or curable organic functional groups; and r has a value of 0, 1, 2, 3, or 4; x has a value of 0, 1 or 2; wherein in the resin m has a value of 0 to 0.95; n has a value of 0.05 to 0.95; o has a value of 0.05 to 0.95; p has a value of 0.05 to 0.5; q has a value of 0 to 0.5; and m+n+o+p+q=1.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: November 6, 2012
    Assignee: Dow Corning Corporation
    Inventors: Michael L. Bradford, Eric Scott Moyer, Sheng Wang, Craig Rollin Yeakle
  • Patent number: 8304177
    Abstract: A process for producing an ink jet head, including forming an ink flow path pattern whose surface has been subjected to insolubilization treatment on a substrate having an energy-generating element, applying a UV curable flow path forming material on the pattern and substrate to form an ink flow path forming layer, irradiating part of the ink flow path forming layer with ultraviolet rays for development, thereby forming an ink ejection orifice, and removing the pattern, thereby forming an ink flow path. The step of forming the pattern includes applying a positive resist containing a resin having a phenolic hydroxyl group on the substrate to form a positive resist layer, patterning the positive resist layer to form an ink flow path pattern prior to insolubilization treatment, applying a coating agent containing a compound having two vinyl ether groups on the pattern, and heat-treating the pattern coated with the coating agent.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: November 6, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shoji Shiba, Masumi Ikeda
  • Patent number: 8298747
    Abstract: To provide a photosensitive resin composition in which a hardened film obtained from the photosensitive resin composition has properties comparable to those of a film hardened at a high temperature, a method for manufacturing a patterned hardened film using the photosensitive resin composition, and an electronic part. The photosensitive resin composition includes (a) a polybenzoxazole precursor having a repeating unit represented by a general formula (I): wherein U and V represent a divalent organic group, and at least one of U and V is a group containing an aliphatic chain structure having 1 to 30 carbon atoms; (b) a photosensitizer; (c) a solvent; and (d) a crosslinking agent capable of causing crosslinking or polymerization by heating.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: October 30, 2012
    Assignee: Hitachi Chemical Dupont Microsystems, Ltd.
    Inventors: Tomonori Minegishi, Rika Nogita, Kenichi Iwashita
  • Patent number: 8293449
    Abstract: There is provided a positive type resist composition comprising (A) a resin component with only units derived from an acrylate ester in the principal chain, for which the solubility in alkali increases under the action of acid, (B) an acid generator component which generates acid on exposure, and (C) an organic solvent component, wherein the resin component (A) is a copolymer comprising (a1) a structural unit derived from an acrylate ester comprising, as an acid dissociable dissolution inhibiting group on a side chain, a polycyclic dissolution inhibiting group which is eliminated more easily than a 2-methyl-2-adamantyl group, (a2) a structural unit derived from an acrylate ester comprising a lactone containing polycyclic group on a side chain, and (a3) a structural unit derived from an acrylate ester comprising a hydroxyl group containing polycyclic group on a side chain; as well as a resist pattern formation method using such a composition.
    Type: Grant
    Filed: November 29, 2002
    Date of Patent: October 23, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takeshi Iwai, Naotaka Kubota, Satoshi Fujimura, Hideo Hada
  • Patent number: 8293453
    Abstract: A photosensitive adhesive composition comprising: (A) a polyimide having a carboxyl group as a side chain, whereof the acid value is 80 to 180 mg/KOH; (B) a photo-polymerizable compound; and (C) a photopolymerization initiator.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: October 23, 2012
    Assignee: Hitachi Chemical Company, Ltd.
    Inventors: Takashi Kawamori, Takashi Masuko, Shigeki Katogi, Masaaki Yasuda
  • Patent number: 8293458
    Abstract: Disclosed is a method for manufacturing fine patterns of semiconductor devices using a double exposure patterning process for manufacturing the second photoresist patterns by simply exposing without an exposure mask. The method comprises the steps of: forming a first photoresist pattern on a semiconductor substrate on which a layer to be etched is formed; coating a composition for a mirror interlayer on the first photoresist pattern to form a mirror interlayer; forming a photoresist layer on the resultant; and forming a second photoresist pattern which is made by a scattered reflection of the mirror-interlayer and positioned between the first photoresist patterns, by exposing the photoresist layer to a light having energy which is lower than a threshold energy (Eth) of the photoresist layer without an exposure mask, and then developing the same.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: October 23, 2012
    Assignee: Dongjin Semichem .Co., Ltd.
    Inventors: Jun-Gyeong Lee, Jung-Youl Lee, Jeong-Sik Kim, Eu-Jean Jang, Jae-Woo Lee, Deog-Bae Kim, Jae-Hyun Kim
  • Patent number: 8293461
    Abstract: A direct emulsion process for making printed circuits and printed circuit boards which includes coating a non-metallized substrate with a solution which creates a light sensitive surface on the substrate, imaging the coated substrate with a circuit design, developing the imaged substrate, and directly plating the developed image onto the coated substrate. Coating solutions which work particularly well in this process include a ferric oxalate and palladium emulsion or a silver based emulsion.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: October 23, 2012
    Assignee: VectraOne Technologies, LLC
    Inventor: Steven Lee Dutton
  • Patent number: 8288064
    Abstract: A method for examining at least one wafer (13) with regard to a contamination limit, in which the contamination potential of the resist (13a) of the wafer (13), which resist (13a) outgasses contaminating substances, is examined with regard to a contamination limit before the wafer (13) is exposed in an EUV projection exposure system (1). The method preferably includes: arranging the wafer (13) and/or a test disc coated with the same resist (13a) as the resist (13a) of the wafer (13) in a vacuum chamber (19), evacuating the vacuum chamber (19), and measuring the contamination potential of the contaminating substances outgassed from the wafer (13) in the evacuated vacuum chamber (19), and also comparing the contamination potential of the wafer (13) with a contamination limit. An EUV projection exposure system (1) for carrying out the method is also disclosed.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: October 16, 2012
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Andreas Dorsel, Stefan Schmidt
  • Patent number: 8288078
    Abstract: A photosensitive resin composition is provided that is highly safe, provides a coating film having superior uniformity, can improve the curing density of the cured resin pattern, and is capable of forming a micro resist pattern having a large film thickness and a high aspect ratio with high sensitivity and high resolving ability. According to a photosensitive resin composition including, in addition to an onium fluorinated alkyl fluorophosphate based cation polymerization initiator having a specific structure, a specified solvent or a specified sensitizing agent as essential components, a coating film having superior uniformity, can improve the curing density of the cured resin pattern, and also is capable of forming a micro resist pattern having a large film thickness and a high aspect ratio with high sensitivity and high resolving ability.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: October 16, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Koichi Misumi, Atsushi Yamanouchi, Koji Saito
  • Patent number: 8283106
    Abstract: Disclosed is a fluorinated sulfonic acid salt or fluorinated sulfonic acid group-containing compound having a structure represented by the following general formula (A). In the formula, n indicates an integer of 1 to 10; R indicates a substituted or unsubstituted C1-C20 linear, branched or cyclic alkyl group, a substituted or unsubstituted C1-C20 linear, branched or cyclic alkenyl group, a substituted or unsubstituted C6-C15 aryl group, or a C4-C15 heteroaryl group; and a indicates 1 or 0. A photoacid generator containing the above fluorinated sulfonic acid salt or fluorinated sulfonic acid group-containing compound shows high sensitivity to an ArF excimer laser or the like, presents no concerns about human body accumulation, can generate an acid (photoacid) of sufficiently high acidity, and exhibits high solubility in a resist solvent and good compatibility with a resist resin.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: October 9, 2012
    Assignee: Central Glass Company, Limited
    Inventors: Kazuhiko Maeda, Yoshimi Isono, Satoru Narizuka
  • Patent number: 8283109
    Abstract: The present invention relates to a method for manufacturing high-resolution microfluidic structures by means of the modification of the sealing temperature and photolithography.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: October 9, 2012
    Assignee: Ikerlan Centro de Investigaciones Tecnologias, S. Coop.
    Inventors: Francisco Javier Blanco Barro, Jorge Elizalde Garcia, Jesús Miguel Ruano Lopez
  • Patent number: 8283110
    Abstract: A method for fabricating an image sensor device is disclosed. The method for fabricating an image sensor device comprises forming a photosensitive layer on a substrate. The photosensitive layer is exposed through a first photomask to form an exposed portion and an unexposed portion. The unexposed portion is partially exposed through a second photomask to form a trimmed part, wherein the second photomask comprise a first segment and a second segment that has a transmittance greater than that of the first segment. The trimmed part is removed to form photosensitive structures. The photosensitive structures are reflowed to form a first microlens and a second microlens having different heights.
    Type: Grant
    Filed: June 16, 2010
    Date of Patent: October 9, 2012
    Assignee: VisEra Technologies Company Limited
    Inventors: Ming-Sheng Yang, Ya-Yun Yu
  • Patent number: 8283103
    Abstract: There is provided a composition for forming a resist underlayer film that can be homogeneously applied and a sublimate is suppressed during the thermal curing. There is also provided a composition for forming a resist underlayer film having a high selection ratio of dry etching relative to a resist applied thereon. A composition for forming a resist underlayer film for lithography comprising: a polysilane compound having a unit structure of Formula (1): (where R1 and R2 are independently a group of —X—Y (where X is an oxygen atom, a C1-18 alkylene group or a group of —OCnH2n— (where n is an integer of 1 to 18) and Y is a lactone ring or an adamantane ring), or one of R1 and R2 is the group of —X—Y and another thereof is an aryl group, a methyl group, an ethyl group or a C3-6 cycloalkyl group); and an organic solvent.
    Type: Grant
    Filed: August 26, 2008
    Date of Patent: October 9, 2012
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Hikaru Imamura, Yasushi Sakaida, Makoto Nakajima, Satoshi Takei
  • Patent number: 8283102
    Abstract: Disclosed are a photoacid generator, a copolymer, a chemically amplified resist composition, and a method of forming a pattern using the chemically amplified resist composition. The photoacid is connected with a main chain of the copolymer, whereby the photoacid is equally dispersed within a resist layer, and characteristics of line edge roughness of a resist pattern is improved.
    Type: Grant
    Filed: April 2, 2009
    Date of Patent: October 9, 2012
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Jung Hoon Oh, Sang Jin Kim, Jin Ho Kim, Dae Hyeon Shin
  • Patent number: 8283104
    Abstract: There is disclosed a sulfonate shown by the following general formula (2). R1—COOC(CF3)2—CH2SO3?M+??(2) (In the formula, R1 represents a linear, a branched, or a cyclic monovalent hydrocarbon group having 1 to 50 carbon atoms optionally containing a hetero atom. M+ represents a cation.) There can be provided: a novel sulfonate which is effective for a chemically amplified resist composition having a sufficiently high solubility (compatibility) in a resist solvent and a resin, a good storage stability, a PED stability, a further wider depth of focus, a good sensitivity, in particular a high resolution and a good pattern profile form; a photosensitive acid generator; a resist composition using this; a photomask blank, and a patterning process.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: October 9, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Takeshi Kinsho, Youichi Ohsawa
  • Patent number: 8278025
    Abstract: The formation of high-resolution resist patterns by liquid immersion lithography with various fluids is enabled by protecting a resist film from deterioration (such as bridging) during the immersion exposure in a fluid (such as water) and the fluid from deterioration and improving the stability of a resist film in the storage after exposure without increase in the number of treatment steps. A material for forming resist protection films which comprises an alkali-soluble polymer for forming a protective overcoat for a resist film, characterized in that the contact angle of the polymer to water is 90° or above. The polymer is preferably an acrylic polymer which comprises as the essential components constituent units derived from (meth) acrylic acid and constituent units derived from a specific acrylic ester.
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: October 2, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Keita Ishiduka, Kotaro Endo
  • Patent number: 8278026
    Abstract: A method for improving the efficiency of the electron-beam exposure is provided, comprising: step 1) coating a positive photoresist on a wafer to be processed, and performing a pre-baking; step 2) separating pattern data, optically exposing a group of relatively large patterns, and then performing a post-baking; step 3) developing the positive photoresist; step 4) performing a plasma fluorination; step 5) performing a baking to solidify the photoresist; step 6) coating a negative electron-beam resist and performing a pre-baking; step 7) electron-beam exposing a group of fine patterns; step 8) performing a post-baking; and step 9) developing the negative electron-beam resist, so that the fabrication of the patterns is finished. According to the invention, it is possible to save 30-60% of the exposure time. Thus, the exposure efficiency is significantly improved, and the cost is greatly reduced. Further, the method is totally compatible with the CMOS processes, without the need of any special equipments.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 2, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Gaobo Xu
  • Patent number: 8268531
    Abstract: The invention provides various ionic and non-ionic photoacid generator compounds. Photoresist compositions that include the novel ionic and non-ionic photoacid generator compounds are also provided. The invention further provides methods of making and using the photoacid generator compounds and photoresist compositions disclosed herein. The compounds and compositions are useful as photoactive components in chemically amplified resist compositions for various microfabrication applications.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: September 18, 2012
    Assignee: Cornell Research Foundation, Inc.
    Inventors: Christopher K. Ober, Yi Yi, Ramakrishnan Ayothi
  • Patent number: 8268539
    Abstract: A method of manufacturing a liquid ejection head includes: exposing a negative organic resin layer to be a flow path forming member except for regions in which an ejection orifice and a fluid resistance portion are to be formed, respectively, and heating the negative organic resin layer and a flow path pattern to move a portion of the negative organic resin layer which corresponds to the fluid resistance portion toward a substrate; and exposing and developing the region of the negative organic resin layer in which the fluid resistance portion is to be formed.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: September 18, 2012
    Assignee: Caron Kabushiki Kaisha
    Inventors: Ken Ikegame, Isamu Horiuchi
  • Patent number: 8263322
    Abstract: A method of forming a resist pattern that includes: applying a positive chemically amplified resist composition to a support to form a first resist film, exposing a region on a portion of the first resist film, performing a post exposure bake treatment and then performing developing to form a first resist pattern, and applying a negative chemically amplified resist composition to the support having the first resist pattern formed thereon, thereby forming a second resist film, exposing a region of the second resist film that includes the positions in which the first resist pattern has been formed, performing a post exposure bake treatment at a bake temperature that increases the solubility of the first resist film in an alkali developing solution and decreases the solubility of the second resist film in an alkali developing solution, and then performing developing to form a resist pattern.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: September 11, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Tomoyuki Ando
  • Patent number: 8263315
    Abstract: A pattern-forming method includes selectively exposing a resist layer formed using a positive-tone radiation-sensitive resin composition including a resin component and an acid generator. The resist layer is developed to form a first pattern. An uncrosslinked embedded section is formed adjacent the first the pattern using a pattern-forming resin composition including a polymer. The polymer has a carbon content higher than that of the resin component, does not include silicon atom in a molecule, and is crosslinkable due to an acid generated from the acid generator. The uncrosslinked embedded section is crosslinked in an area around an interface with the first pattern to form an array structure. The first pattern, a first crosslinked section, the uncrosslinked embedded section, and a second crosslinked section are repeatedly arranged in the array structure in this order. The first pattern and the uncrosslinked embedded section are removed to form a second pattern.
    Type: Grant
    Filed: June 13, 2010
    Date of Patent: September 11, 2012
    Assignee: JSR Corporation
    Inventor: Keiji Konno
  • Patent number: 8263308
    Abstract: A polyimide silicone having in the molecule a phenolic hydroxy group in which a part or all of hydrogen atoms are substituted with an acid labile group is provided. The polyimide silicone comprises the unit represented by the formula (1): wherein X is a tetravalent group at least a part of which is a tetravalent organic group represented by the formula (2): wherein R1 is a monovalent hydrocarbon group, R2 is a trivalent group, and n is an integer of 1 to 120 on average; and Y is a divalent organic group at least a part of which is a divalent organic group having a phenolic hydroxy group in which a part or all of hydrogen atoms are substituted with an acid labile group represented by the formula (3): wherein R3 and R4 are a hydrogen atom or an alkyl group, and R5 is an alkyl group, an aryl group, or an aralkyl group.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: September 11, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shohei Tagami, Takanobu Takeda, Michihiro Sugo, Hideto Kato
  • Patent number: 8257909
    Abstract: The present invention provides a method of manufacturing a semiconductor device capable of highly detailed patterning using a resist pattern having smoothed wall surfaces and reduced roughness. The method includes the steps of: forming a resist pattern over a base layer; applying a resist pattern smoothing material onto a surface of the resist pattern, thereafter heating and developing; and etching the base layer using the smoothed resist pattern, wherein one of an application thickness and a heat temperature is adjusted so as to smooth at least wall surfaces of the resist pattern. Aspects in which a maximum opening dimension and a minimum opening dimension of the smoothed resist pattern are ±5% of a predetermined opening dimension D (nm), and an average opening dimension Dav. (nm) of the smoothed resist pattern satisfies Dav. (nm)?D (nm)×(90/100), are preferable.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: September 4, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hajime Yamamoto, Satoshi Takechi
  • Patent number: 8252506
    Abstract: Hot melt compositions include acid waxes and acrylate functional monomers free of acid groups. Upon application of actinic radiation, the hot melt compositions cure to form and etch resist. The hot melt compositions may be used in the manufacture of printed circuit boards, optoelectronic and photovoltaic devices.
    Type: Grant
    Filed: November 4, 2009
    Date of Patent: August 28, 2012
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Kevin J. Cheetham, Thomas C. Sutter
  • Patent number: 8253077
    Abstract: A processing temperature of thermal processing is corrected based on measurement of a first dimension of a resist pattern on a substrate from a previously obtained relation between a dimension of a resist pattern and a temperature of thermal processing, a second dimension of the resist pattern after thermal processing is performed at the corrected processing temperature is measured, a distribution within the substrate of the second dimension is classified into a linear component expressed by an approximated curved surface and a nonlinear component, a processing condition of exposure processing is corrected based on the linear component from a previously obtained relation between a dimension of a resist pattern and a processing condition of exposure processing, and thermal processing at the processing temperature corrected in a temperature correcting step and exposure processing under the processing condition corrected in an exposure condition correcting step are performed to form a predetermined pattern.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Kunie Ogata, Masahide Tadokoro, Tsuyoshi Shibata, Shinichi Shinozuka
  • Patent number: 8252515
    Abstract: A method for removing a photoresist is disclosed. First, a substrate including a patterned photoresist is provided. Second, an ion implantation is carried out on the substrate. Then, a non-oxidative pre-treatment is carried out on the substrate. The non-oxidative pre-treatment provides hydrogen, a carrier gas and plasma. Later, a photoresist-stripping step is carried out so that the photoresist can be completely removed.
    Type: Grant
    Filed: October 13, 2009
    Date of Patent: August 28, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Cheng Chien, Chan-Lon Yang, Chiu-Hsien Yeh, Che-Hua Hsu, Zhi-Cheng Lee, Shao-Hua Hsu, Cheng-Guo Chen, Shin-Chi Chen, Zhi-Jian Wang
  • Patent number: 8252504
    Abstract: A polymer obtained through copolymerization of a monomer having a hexafluoroalcohol pendant whose hydroxyl moiety has been protected and a monomer having an acid labile group is useful as an additive to a photoresist composition for immersion lithography. When processed by immersion lithography, the resist composition exhibits good water repellency and water slip and produces few development defects.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: August 28, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Patent number: 8252511
    Abstract: A first film-modifying method includes forming a second film on a first film that includes an acid-dissociable group. The second film is an acid transfer resin film that includes a photoacid generator. The second film is exposed via a mask so that the second film generates an acid. The acid generated by the second film is transferred to the first film. The second film is removed.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: August 28, 2012
    Assignee: JSR Corporation
    Inventor: Kouji Nishikawa