Patents Assigned to Cadence Design Systems, Inc.
  • Patent number: 11165554
    Abstract: Various embodiments provide for testing a transmitter using a phase-lock loop, which can be used with a circuit for data communications, such as serializer/deserializer (SerDes) communications. In particular, some embodiments provide for data transmission test of a transmitter by: generating and outputting a pre-determined data pattern through a serializer of the transmitter; sampling a serialized data output of the serializer using a sample clock signal generated by an M/N phase-lock loop (PLL); and using a pattern checker to error check the sampled data to determine whether the data transmission test passes.
    Type: Grant
    Filed: October 27, 2020
    Date of Patent: November 2, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Scott David Huss, Jeffrey Andrew Shafer
  • Patent number: 11156660
    Abstract: A system for testing of one or more electronic devices is disclosed. In an embodiment, a processor transmits one or more test vectors to the one or more electronic devices. The one or more test vectors are based upon configuration parameters of the processor and input-output parameters of the one or more electronic devices. The processor receives scan vectors from the one or more electronic devices in response to the plurality of test vectors. The processor verifies in-system behavior of the one or more electronic devices based upon comparing received scan vectors with expected scan vectors.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: October 26, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Mitchell Poplack, Xiaolei Guo, Phung Truong, Justin Schmelzer
  • Patent number: 11151295
    Abstract: A method for enhancing performance of SEC of two representations of an electronic design (with and without gated clock) includes selecting one or more pairs of correlated flip-flops (FFs), a first FF of each pair in the first representation toggled by the gated clock controlled by an enable combinational logic and a second FF of the pair, correlating to the first FF, in the second representation toggled by the constantly toggling clock. The method also includes defining a modified enable combinational logic for the gated clock, as a disjunction of the enable combinational logic of the gated clock and an enable combinational logic for each FF of a plurality of FFs that are toggled by the gated clock. The method also includes performing SEC on the two representations design, using the modified enable combinational logic for the gated clock instead of the enable combinational logic of the gated clock.
    Type: Grant
    Filed: October 22, 2020
    Date of Patent: October 19, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Doron Bustan, Karam Abdelkader, Yaron Schiller
  • Patent number: 11144698
    Abstract: An approach is described for a method, system, and product, that includes identification/generation of a synthesized netlist for use in optimization and placement, generation and utilization of multiple uncertainty values for an early clock tree for guiding optimization and placed of circuit elements in a placeopt process that operates on a path by path basis. In some embodiments, the approach further comprises execution of clock tree synthesis, and routing the synthesized clock tree. In some embodiments, uncertainty values are propagated along data paths where each data path is associated with an uncertainty value, and where each path is optimized and placed on a path my path basis in order to meeting timing requirements and one or more design goals.
    Type: Grant
    Filed: June 30, 2020
    Date of Patent: October 12, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vibhor Garg, Edward J. Martinage, Amit Dhuria, Krishna Prasad Belkhale
  • Patent number: 11144693
    Abstract: A method includes generating on a host machine a validated verification test scenario comprising a graph defining a scheduled performance order of a plurality of actions to be performed on a DUT and a corresponding verification environment; obtaining a subset of one or more actions to be added to the validated scenario while maintaining the plurality of actions of the validated scenario and the scheduled performance order, forming an amended verification test scenario; and applying a runtime solver in a target language of the DUT and the corresponding verification environment on the amended verification test scenario to generate a test in a target code and to apply the test on the DUT and the corresponding verification environment wherein inclusion of any of said one or more actions or an order of performance of said one or more actions of the subset in the test is determined at runtime.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: October 12, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventor: Meir Ovadia
  • Patent number: 11138357
    Abstract: A formal verification EDA application can be configured to receive a circuit design of an IC chip. The circuit design of the IC chip comprises a set of properties for the IC chip and constraints for the IC chip. The formal verification EDA application generates an array of CNF files based on the circuit design of the IC chip. Each CNF file can include a Boolean expression that characterizes a selected property of the set of properties and data fields characterizing initial states for literals in the Boolean expression and the constraints of the IC chip. The formal verification application can also be configured to output the array of CNF files to a hardware prototyping platform. The hardware prototyping platform can be configured to execute a hardware instantiated SAT solver for the Boolean expression in each CNF file in the array of CNF files.
    Type: Grant
    Filed: May 15, 2020
    Date of Patent: October 5, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Tulio Paschoalin Leao, Petros Daniel Fernandes de Medeiros Félix, Julia Pinheiro de Oliveira, Arthur Ribeiro Araujo, Lucas Martins Chaves, Andrei dos Santos Silva, Pablo Nunes Agra Belmonte
  • Patent number: 11138355
    Abstract: A formal verification EDA application can be configured to receive a circuit design of an IC chip, the circuit design of the IC chip including a list of properties for the IC chip. The list of properties includes a list of covers for the IC chip. The formal verification engine can also execute a formal verification of the IC chip. Results of the formal verification identifies a subset of covers of the list of covers that are unreachable. The formal verification engine can further execute a root cause search for a selected cover in the subset of covers that are unreachable. The root cause search selectively adds and removes cutpoints to signals in the circuit design to identify a root cause for the selected cover being unreachable. The root cause comprises a signal in the circuit design that is upstream from the selected cover.
    Type: Grant
    Filed: November 16, 2020
    Date of Patent: October 5, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Craig Franklin Deaton, Maayan Ziv, Kanwar Pal Singh, Nizar Hanna, Gasob Mazzawi
  • Patent number: 11132490
    Abstract: Various embodiments provide for clock network generation for a circuit design using a negative-edge integrated clock gate (ICG). According to some embodiments, a clock network with one or more negative-edge ICGs is generated, after a topology of the clock network is defined, by applying a positive-edge ICG-to-negative-edge ICG transform to one or more nodes of the clock network that comprise a positive-edge ICG. Additionally, according to some embodiments, a clock network is generated bottom-up (from the clock sinks to the root clock signal source) using one or more negative-edge ICGs.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: September 28, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ruth Patricia Jackson, William Robert Reece, Thomas Andrew Newton, Zhuo Li
  • Patent number: 11133793
    Abstract: Various embodiments provide for phase interpolators with phase adjusters to provide step resolution, which can be used with a circuit such as a data serializer/deserializer circuit. In particular, for some embodiments, a phase interpolator is coupled to a phase adjuster, where the combination of the phase interpolator and the phase adjuster is configured to interpolate between phases in phase adjustment steps at a phase adjustment step resolution. For such embodiments, the phase adjustment step resolution of the steps is achieved by controlling the phase interpolator and the phase adjuster.
    Type: Grant
    Filed: December 1, 2020
    Date of Patent: September 28, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Scott David Huss, Loren B. Reiss, Christopher George Moscone, Kelvin E. McCollough
  • Patent number: 11132619
    Abstract: Some embodiments perform, in a multi-layer neural network in a computing device, a convolution operation on input feature maps with multiple convolutional filters. The convolutional filters have multiple filter precisions. In other embodiments, electronic design automation (EDA) systems, methods, and computer-readable media are presented for adding such a multi-layer neural network into an integrated circuit (IC) design.
    Type: Grant
    Filed: February 24, 2017
    Date of Patent: September 28, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Raúl Alejandro Casas, Samer Lutfi Hijazi, Piyush Kaul, Rishi Kumar, Xuehong Mao, Christopher Rowen
  • Patent number: 11132489
    Abstract: Various embodiments provide for layer assignment of a network of a circuit design based on a wirelength threshold, which can facilitate consideration of timing and electromigration and which may be part of electronic design automation (EDA) of a circuit design. More particularly, some embodiments determine (e.g., calculate) a wirelength threshold for a net (e.g., each net) of a circuit design based on one or more characteristics of the net, and select a layer for at least a portion of the net based on the wirelength threshold.
    Type: Grant
    Filed: February 28, 2020
    Date of Patent: September 28, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Derong Liu, Yi-Xiao Ding, Zhuo Li, Mehmet Can Yildiz
  • Patent number: 11128410
    Abstract: Embodiments disclosed are directed to methods for scheduling packets. According to example embodiments the method includes receiving, using a first layer in a communication protocol, a first request from a second layer in the communication protocol. The first request indicates to the first layer to output a data stream that includes a first location for the second layer to include a first control packet. The first layer is at a higher level of abstraction than the second layer. The method further includes transmitting, using the first layer, a first response to the second layer. The first response is based on the first request, and the first response identifies the first location in the data stream and a time of occurrence of the first location in the data stream.
    Type: Grant
    Filed: July 18, 2019
    Date of Patent: September 21, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chetan Paragaonkar, Gopi Krishnamurthy, Anish Mathew, Raveendra Pai Gopalakrishna, Anujan Varma
  • Patent number: 11108425
    Abstract: A calibration control component within a transmit (TX) or receive (RX) device executes a calibration sequence to ensure reliable data transmission and reception within the device. The calibration sequence comprises a set of calibration functions that are sequentially executed. The calibration control component detects a pause function being enabled based on a pause function configuration register. Based on detecting the pause function being enabled, the calibration control component pauses execution of the calibration sequence.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: August 31, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Scott David Huss, Loren B. Reiss, Fred Staples Stivers, Matthew Robert Collin, James Lee House, Ramakrishna Kasukurthi
  • Patent number: 11106846
    Abstract: A compaction circuit in an emulation system may store in a data array emulation data that may be read in subsequent emulation steps. For each emulation step, the compaction circuit may receive keeptags from a local control store word of the emulation step and store portions of emulation data identified by the keeptags. The keeptags in the control store words may be inserted by a compiler based upon whether a corresponding read port of emulation processor reads the stored data in the subsequent steps. The compaction circuit may also translate the logical read address of the stored data to a physical read address in the shared data array. A dynamic modification engine may enable dynamic modification of netlists while using the compacted data array. In response to a request, the dynamic modification engine may modify one or more keeptags and update read addresses in the control store words.
    Type: Grant
    Filed: December 3, 2018
    Date of Patent: August 31, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Mitchell G. Poplack, Yuhei Hayashi
  • Patent number: 11100268
    Abstract: Embodiments are generally directed to a hierarchical approach for performing simulation for PDNs that have integrated VRMs. According to certain aspects, embodiments include an approach that decouples the simulation for PDN and the simulation for VRM/PKG through PDN macromodeling. In these and other embodiments, the approach includes a SPICE-accurate simulation for the VRM part using a non-linear solver and using a linear solver for the PDN part, with minimal handshaking between them. For example, using a Backward Euler method having a fixed time step, at every simulation time interval, the linear solver sends reduced boundary currents to the non-linear solver. After the non-linear solver converges at the time interval, it sends boundary voltages back to the linear solver for determining voltages in the PDN part at the time interval.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: August 24, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Zhiyu Zeng, Hailin Jiang, Yanfei Gu, Nityanand Rai, Xin Gu
  • Patent number: 11087060
    Abstract: The present disclosure relates to a computer-implemented method for electronic design. Embodiments may include receiving, using at least one processor, an electronic design schematic and an electronic design layout and training a model using at least one predictor associated with the electronic design layout. Embodiments may further include obtaining an updated model, based upon, at least in part, the training. Embodiments may also include applying the updated model to a second electronic design schematic or a second electronic design layout, wherein one or more hard constraints or one or more soft constraints or both are created, based upon, at least in part, the model.
    Type: Grant
    Filed: July 29, 2019
    Date of Patent: August 10, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Wangyang Zhang, Elias Lee Fallon, Regis R. Colwell, Hua Luo, Namita Bhushan Rane, Sheng Qian
  • Patent number: 11087064
    Abstract: The present disclosure relates to a method for use with an electronic design. Embodiments may include receiving, using a processor, the electronic design and estimating a wire width associated with the electronic design based upon, at least in part, a current in a wire, a layer of the wire, a temperature, and an electromigration length. Embodiments may further include allowing, at a graphical user interface, a user to make an edit to a shape or a layer of the wire and generating a revised EM length, based upon, at least in part, the edit. Embodiments may also include generating one or more EM length breakpoints based upon, at least in part, the revised EM length and one or more EM rules.
    Type: Grant
    Filed: March 13, 2020
    Date of Patent: August 10, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Laurent René Saint-Marcel, Olivier Berger
  • Patent number: 11082267
    Abstract: The embodiments described herein provide for a method and system for implementing a multi-tap hybrid-equalization technique devoid of ISI jitter and PSI jitter in the high-speed data path to achieve 24 Gbps operating speed in systems utilizing GDDR6 DRAM. The method includes receiving an original data signal at a first TFFE circuit and receiving an altered data signal at a second TFFE circuit. The method further comprises generating a time-domain-equalized original data signal using a set of TFFE coefficients from the original data signal. The method further comprises generating a time-domain-equalized altered data signal using the set of TFFE coefficients from the altered data signal. The method further comprises generating, a time-and-voltage-domain-equalized data signal from the time-domain-equalized original data signal and the time-domain-equalized altered data signal at a voltage-feed forward equalization (VFFE) circuit using a set of VFFE coefficients.
    Type: Grant
    Filed: June 17, 2020
    Date of Patent: August 3, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Vinod Kumar, Harsh Anil Shakrani, Balbeer Rathor
  • Patent number: 11080457
    Abstract: Various embodiments provide for layer assignment of a network of a circuit design based on a resistance or capacitance characteristic, such as a resistance/capacitance characteristic associated with a layer, a wire, or a via of the circuit design. In particular, various embodiments consider a resistance/capacitance characteristic of a layer, a wire, or a via of a circuit design to determine a set of layers for routing one or more networks of the circuit design, which can enable some embodiments to route the networks on the layers within a certain range that has very close resistance/capacitance (RC) characteristics, and can permit routing each network on layers having the smallest RC characteristic difference.
    Type: Grant
    Filed: March 18, 2020
    Date of Patent: August 3, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Derong Liu, Yi-Xiao Ding, Mehmet Can Yildiz, Zhuo Li
  • Patent number: 11080448
    Abstract: A method for formal deep bug hunting in a device under test (DUT) may include obtaining a selection of a start state for the DUT; obtaining a selection of one or a plurality of variables that are declared as random variables; for each of said one or a plurality of random variables, generating a sequence of random values in a generation order using a random number generator (RNG); and performing formal verification exploration of the DUT starting at the start state and consecutively assigning each of said one or a plurality of random variables a value from the sequence of values in the generation order.
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: August 3, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yaron Schiller, Guy Wolfovitz, Habeeb Farah