Patents Assigned to Cadence Design Systems, Inc.
  • Patent number: 10997333
    Abstract: Disclosed are methods, systems, and articles of manufacture for characterizing an electronic design with a schematic driven extracted view. These techniques identify a schematic of an electronic design, wherein the schematic exists in one or more design fabrics. These techniques further determine an extracted model for characterizing a behavior of the electronic design based at least in part upon the schematic, determine a hierarchical level in a design fabric of the one or more design fabrics of the schematic, and characterize the electronic design with at least an extracted view.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Balvinder Singh, Arnold Jean Marie Gustave Ginetti, Sutirtha Kabir, Diwakar Mohan, Madhur Sharma
  • Patent number: 10997349
    Abstract: In the context of electronic design automation and particularly circuit layout design software tools, systems and methods for incremental chaining of circuit devices (or, more generally, “figures,” which can include instances and pins) permit user-interactive abutment and placement. Selection of one or more anchor figures highlights chaining candidates which can be automatically chained to the anchor figure(s) upon selection, as with a single mouse click. As compared to manual interactive abutment or automatic batch-mode chaining, incremental chaining offers improved usability, reduced manual effort, and the opportunity for user interaction as a chain is constructed, because the user is permitted interventions at any point in the chaining process for altering device parameters or characteristics.
    Type: Grant
    Filed: November 25, 2019
    Date of Patent: May 4, 2021
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: David Mallon, Gilles Lamant, Kenneth Ferguson, Christopher Stewart, Kenneth Mackie
  • Patent number: 10997343
    Abstract: An emulation system may include an emulator. The emulator may include at least one chip and at least one FPGA. The chip may be associated with the FPGA. The FPGA may operate as a coprocessor to implement in-system scan test of the chip. In a scan mode of the in-system scan test, the coprocessor may transmit one or more in-system test instructions to the chip through its existing connections with the chip. The coprocessor may capture test response data from the chip in response to the one or more in-system test instructions through its existing connections with the chip. In an offline mode, the coprocessor may compare the test response data with expected response data to determine if the chips are functioning correctly.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mitchell Poplack, Xiaolei Guo, Phung Truong, Justin Schmelzer
  • Patent number: 10997352
    Abstract: Various embodiments provide for routing a network of a circuit design based on at least one of a placement blockage or a layer-assigned network of a circuit design. For instance, some embodiments route a network of a circuit design (e.g., clock net, date net) by generating a congestion map based on modeling layer-assigned networks, considering (e.g., accounting for) routing congestion based on a placement blockage of the circuit design, or some combination of both.
    Type: Grant
    Filed: May 17, 2019
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Gracieli Posser, Mehmet Can Yildiz, Wen-Hao Liu, Wing-Kai Chow, Zhuo Li, Derong Liu
  • Patent number: 10996723
    Abstract: A method for providing, based on an emulation schedule, a reset message to multiple circuits is provided. The reset message associates a reset signal with a selected clock cycle for each circuit, in the emulation schedule. The method includes determining a mask for each of the circuits based on the emulation schedule, providing a clock signal to the circuits, the clock signal comprising the selected clock cycle for each circuit, and tuning the reset signal relative to the clock signal based on a center of the selected clock cycle for each circuit. The method also includes providing the reset signal to the circuits and asserting the reset signal in the circuits based on the mask. A system and a non-transitory, machine-readable medium storing instructions to perform the above method are also provided.
    Type: Grant
    Filed: December 12, 2018
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Quang Nguyen, Duc Dang, Raju Joshi, David Abada, Akash Sharma, Zhanhe Shi
  • Patent number: 10997351
    Abstract: Embodiments included herein are directed towards method for electronic design. Embodiments may include receiving, using at least one processor, a placed layout and one or more electronic design simulation datasets including current information associated with at least one pin. Embodiments may further include estimating a width to support the current information associated with the at least one pin and updating a pin size associated with the at least one pin based upon, at least in part, the estimated width. Embodiments may also include identifying at least one pin that is above a predetermined threshold and splitting the at least one pin that is above the predetermined threshold into a plurality of pins. Embodiments may further include generating one or more width-spacing-pattern tracks for one or more internal nets based upon, at least in part, the updated pin size.
    Type: Grant
    Filed: August 13, 2020
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sheng Qian, Sai Bhushan, Monica Goel
  • Patent number: 10997336
    Abstract: For a division of a dividend by a constant divider, a circuit architecture may calculate partial remainders. The circuit architecture may implement a tree structure to generate intermediate signals of partial remainders and combine adjacent intermediate signals to generate other partial remainders downstream. The circuit architecture may generate a quotient based on the partial remainders. The circuit architecture may also implement bit shifting and zero-padding on left side of the dividend to generate bit-level partial remainders. Furthermore, the circuit architecture may enable a fast round-to-zero division of signed integers by flipping the input bits of a negative integer and output bits of the corresponding quotient and performing only one increment operation, either before the division or after the division. In addition, the circuit architecture may also perform a division of a dividend in a carry-save form.
    Type: Grant
    Filed: November 6, 2018
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Edward Mottram, Matthew David Eaton
  • Patent number: 10997332
    Abstract: Embodiments include herein are directed towards a method for use in an electronic design environment is provided. Embodiments may include receiving, using at least one processor, an electronic design schematic and splitting, using the at least one processor, the electronic design schematic into a plurality of subcircuits. Embodiments may further include independently simulating each of the plurality of subcircuits to generate simulation results and analyzing the simulation results to determine over-stress associated with the plurality of subcircuits.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: May 4, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Singh Kukal, Siddharth Mohan, Vikrant Khanna, Kunal Gupta, Jasleen Kaur Ahuja, Nikhil Gupta
  • Patent number: 10990733
    Abstract: According to certain aspects, the present embodiments include techniques for performing a single timing analysis run for a plurality of views representing different modes and/or corners. An embodiment analyzes and maintains relevant timing information that is different for different views, but otherwise maintains the same information for all views. This allows each individual view in a single run to be analyzed in the same manner as separate runs for each separate view, thereby ensuring the same QoR. These and other embodiments provide substantial savings in runtime and memory consumption over other approaches.
    Type: Grant
    Filed: March 10, 2020
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vibhor Garg, Amit Dhuria
  • Patent number: 10990734
    Abstract: Devices, methods, computer readable media, and other embodiments are described for automated formal analysis and verification of a circuit design. One embodiment involves accessing a circuit design and a set of default verification targets for the circuit design. A plurality of partitions for the circuit design are then automatically generated, and a first partition is analyzed to generate a first set of verification targets for the first partition based on the set of default verification targets and a set of partition and schedule values for the first partition. A first formal verification analysis is performed on the first partition, the first set of verification targets, and the set of partition and schedule values, and a formal verification output is generated based on the first formal verification analysis. Various embodiments can additionally involve stagnation analysis and additional automation to customize the analysis for each partition.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Georgia Penido Safe, Vincent Gregory Reynolds, Adriana Cassia Rossi de Almeida Braz, Julio Alexandre Silva Rezende
  • Patent number: 10990721
    Abstract: Electronic design automation systems, methods, and media are presented for cell cloning during circuit design. In one embodiment, for a circuit design comprising a plurality of flip-flop elements having clock inputs provided by a routing tree, a delay is identified for each flip-flop element. The flip-flop elements are clustered by delay to generate at least two clusters of flip-flop elements. Elements within the clusters are then grouped by physical characteristics to generate delay groups of flip-flop elements. An updated routing tree is then generated for the circuit design using the first delay group and the second delay group.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: William Robert Reece, Thomas Andrew Newton, Zhuo Li
  • Patent number: 10990728
    Abstract: An emulation system may have a built-in self-test circuit to generate one or more built-in self-test instructions. The one or more built-in self-test instructions may be pseudorandom. The one or more built-in self-test instructions may cause one or more emulation processors of the emulation system to generate one or more deterministic outputs. A testing processor of the emulation system may compare the one or more deterministic outputs to detect a faulty emulation processor, a faulty emulation processor cluster, or a faulty emulation chip of the emulation system.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mitchell Poplack, Yuhei Hayashi
  • Patent number: 10992449
    Abstract: A set of encoders within a transmitter (TX) physical layer (PHY) encode incoming data using a predefined encoder scheme by translating multiple data segments into a set of balanced bit sequences. Each data segment comprises a first number of bits and each balanced bit sequence comprises a second number of bits. A data striping component distributes the set of balanced bit sequences to a set of serializers by routing bits from particular bit positions in each balanced bit sequence to a corresponding serializer. The set of serializers generates serialized data based on the set of balanced bit sequences.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Loren B. Reiss, Fred Staples Stivers, Eric Harris Naviasky
  • Patent number: 10992733
    Abstract: The present embodiments relate generally to workload management and more particularly to a hybrid cloud workload management system and methodology which can effectively manage the execution of tasks of the same workload on both private and public clouds. In embodiments, user tasks are seamlessly and transparently executed on a public cloud if the private cloud does not have the necessary resources available. These and other embodiments automatically detect data dependencies of user tasks and build lists of data attributes of user tasks, which are used to populate and synchronize data needed for tasks before they are executed on the public cloud. Additional or alternative embodiments include the ability to intelligently scale the compute resources in the public cloud so that appropriate number of hosts with the resources needed by the user tasks are dynamically created and also properly purged upon user task completion.
    Type: Grant
    Filed: February 9, 2017
    Date of Patent: April 27, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventor: Albert Shuxin Wang
  • Patent number: 10984161
    Abstract: The present disclosure relates to a computer-implemented method for use in a formal verification of an electronic design. Embodiments may include receiving a reference model including a software specification, an implementation model at a register transfer level, and a property that analyzes equivalence between the reference model and the implementation model. The method may further include generating one or more case split hints based upon the reference model, that may be used to decompose the design state space into smaller partitions and performing an abstraction operation on a portion of design logic associated with one or more partitions in order to eliminate design elements that are irrelevant to a particular property. Embodiments may also include performing model checking on the abstract models to determine their accuracy.
    Type: Grant
    Filed: November 20, 2019
    Date of Patent: April 20, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rajdeep Mukherjee, Ravi Prakash, Benjamin Meng-Ching Chen, Habeeb Farah, Ziyad Hanna
  • Patent number: 10983758
    Abstract: The present disclosure relates to a method for use in a formal verification of an electronic design. Embodiments may include receiving, using a processor, a reference model including a software specification and an implementation model at a register transfer level. Embodiments may also include generating one or more invariants based upon, at least in part, the reference model, wherein generating one or more invariants includes applying a semantic analysis. Embodiments may further include automatically generating at least one case splitting candidate based upon, at least in part, the one or more generated invariants.
    Type: Grant
    Filed: August 13, 2019
    Date of Patent: April 20, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rajdeep Mukherjee, Benjamin Meng-Ching Chen, Habeeb Farah, Ziyad Hanna
  • Patent number: 10984164
    Abstract: An approach is described for a method, system, and product, the approaching includes identification of an integrated circuit design, identification of sync groups (nets having synchronous voltage levels), generation of a physical design having sync group constraints, and performance of design rule checking on a physical design based on at least transferred sync group information. This provides for performing design rule analysis at least using small minimum spacing requirements then would otherwise be required with prior techniques. In some embodiments, the approach includes a verification process that ensures that synchronous voltage behavior is appropriately associated with members of respective sync groups and cleans up old association data that is no longer relevant/correct.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: April 20, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ankur Chaplot, Yashu Gupta, Nikhil Garg, Sachin Shrivastava, Michaela Guiney, Sankalp Srivastava
  • Patent number: 10977408
    Abstract: Embodiments disclosed herein describe systems, methods, and products for concurrently placing and optimizing input-output (IO) pins and internal components of an integrated circuit (IC) design. In an illustrative process flow, the computer (executing an illustrative EDA tool) may import the IC design and unplace the IO pins of the imported IC design. The computer may set one or more constraints for the IO pins with more degrees of freedom than the conventional pre-fixed locations. The computer may then concurrently place the IO pins and the internal components such that the IO pins obey the one or more constraints. The computer may iteratively optimize the placement of the IO pins and the internal components while ensuring that the one or more constraints are not violated.
    Type: Grant
    Filed: January 13, 2020
    Date of Patent: April 13, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Fangfang Li, Xincheng Zhang, Anil Kumar Mishra, Md Shaukat Ullah, Feng Cheng
  • Patent number: 10980117
    Abstract: A mid-plane board including a first connector configured to receive a first signal from a first circuit board is provided. The mid-plane board includes a second connector configured to provide the first signal to a second circuit board. The first circuit board forms a first plane and the second circuit board forms a second plane, and the first plane and the second plane are substantially parallel. The mid-plane board also includes a cutout configured to allow a coplanar connector to bridge the mid-plane board and provide a second signal from the first circuit board to the second circuit board. The second signal is a high-end signal and the first signal is a low-end signal, and the mid-plane board is disposed on a plane substantially orthogonal to the first circuit board and the second circuit board.
    Type: Grant
    Filed: December 12, 2018
    Date of Patent: April 13, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Gidon Maas, Pinchas Herman, Vu Nguyen, Hoa Pham, Febin George
  • Patent number: 10969429
    Abstract: The present disclosure relates to a system and method for debugging in fault simulation associated with an electronic design. Embodiments may include receiving, using at least one processor, an electronic design and performing concurrent fault simulation on a fault to be analyzed associated with the electronic circuit design, wherein the fault has a fault propagation path associated therewith. Embodiments may also include identifying a trace of one or more signals of interest that are in the fault propagation path and generating a faulty database and a good database associated with the one or more signals of interest that are in the fault propagation path. Embodiments may further include identifying one or more differences between the faulty database and the good database.
    Type: Grant
    Filed: August 13, 2019
    Date of Patent: April 6, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Manoj Kumar, Rishabh Gupta, Inderpreet Singh Baweja