Patents Examined by Richard A. Rosenberger
  • Patent number: 7023539
    Abstract: A device for monitoring the superstructure state especially of fixed railroad tracks, with a height sensor system, which is installed in a measuring vehicle, preferably constructed as a laser scanning system, for determining the height position of an anchor clamp and/or of the base of a rail and/or of a railroad tie.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: April 4, 2006
    Assignee: Pfleiderer Infrastrukturtechnik GmbH & Co. KG
    Inventor: Martin Kowalski
  • Patent number: 7016045
    Abstract: A visibility measurement system that computes relative visibility by comparing an images of an environment against a benchmark image. A sensing device receives an image and a digital conversion system maps the image into a two-dimensional data array. A processor converts the digital data to a value that represents visibility by identifying a region in the image that has a uniform distance from the sensing device over time, computing a benchmark visibility index for the region as a summation of measurable visual characteristics that influence visibility in the region under a benchmark environmental condition, computing a second visibility index for a second environmental condition, and computing a relative visibility value as the ration of the second visibility index in relation to the benchmark visibility index.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: March 21, 2006
    Assignee: Regents of the University of Minnesota
    Inventor: Taek Mu Kwon
  • Patent number: 7016054
    Abstract: The invention provides a method of measuring a standard critical dimension feature and insuring that this feature is representative of cross-chip average critical dimension size in accordance with an embodiment of the invention. The method includes the steps of incorporating a cluster of CD features, determining a cross-chip average feature size, selecting the CD feature which is closest in size to the cross-chip average CD feature size as the standard feature for in-line measurement, and implementing the CD measurement of the appropriate feature on production wafers.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: March 21, 2006
    Assignee: LSI Logic Corporation
    Inventors: Duane B. Barber, Robert C. Muller, Mark C. Simmons
  • Patent number: 7012680
    Abstract: A method for a quantitative evaluation of a substrate such as wafer defines a number of sequential first regions so that each of the first regions overlaps the adjacent region. A surface data (e.g., thickness data) in each of the first regions is used to determine a normal vector representing a surface configuration (e.g., thickness variation) of the first region. Then, an angular difference between the normal vectors is determined for each combination of adjacent two first regions. Subsequently, the determined angular difference is compared with a reference to evaluate a quality of a second region including at least one of the first regions, e.g., chip region, strip-like region and/or the entire of the wafer.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: March 14, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Keishi Kubo, Masateru Doi, Hiroyuki Motizuki, Keiichi Yoshizumi
  • Patent number: 7012694
    Abstract: An optical apparatus for total internal reflection spectroscopy comprises: a transparent body having an internally reflective surface; at least one source of electromagnetic radiation for providing at least one beam of collimated electromagnetic radiation; optical scanning means for directing the beam or beams to the transparent body so that the radiation is internally reflected at the reflective surface, and sequentially or continuously scanning the incident angle of the radiation over an angular range; at least one detector for detecting electromagnetic radiation exiting the transparent body, and means for counteracting variation of the irradiance in the illuminated area of the surface during the angular scan, or the effect of such variation on the reflected beam or beams. An optical apparatus for examining thin layer structures on a surface for differences in respect of optical thickness and/or refractive index, and a method for total internal reflection spectroscopy are also disclosed.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: March 14, 2006
    Assignee: Biacore AB
    Inventor: Bengt Ivarsson
  • Patent number: 7012683
    Abstract: Disclosed are methods and apparatus for detecting a relatively wide dynamic range of intensity values from a beam (e.g., scattered light, reflected light, or secondary electrons) originating from a sample, such as a semiconductor wafer. In other words, the inspection system provides detected output signals having wide dynamic ranges. The detected output signals may then be analyzed to determine whether defects are present on the sample. For example, the intensity values from a target die are compared to the intensity values from a corresponding portion of a reference die, where a significant intensity difference may be defined as a defect. In a specific embodiment, an inspection system for detecting defects on a sample is disclosed. The system includes a beam generator for directing an incident beam towards a sample surface and a detector positioned to detect a detected beam originating from the sample surface in response to the incident beam.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: March 14, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Ralph C. Wolf, Eva L. Benitez, Dongsheng (Don) Chen, John D. Greene, Jamie M. Sullivan, Eric N. Vella, Khiem D. Vo
  • Patent number: 7009718
    Abstract: A lattice pattern projector for measuring a three-dimensional shape with enhanced accuracy while shortening the measuring time using a lattice pattern projection method, characterized by comprising a light source section (101), a liquid crystal lattice (111), a section (102) for projecting a lattice pattern, formed by passing the outgoing light from the light source section through the liquid crystal lattice, to an object for measurement, a section (112) for driving the liquid crystal lattice such that a linear intensity distribution is present in one period of the lattice pattern, a section (104) for detecting the lattice pattern projected to the object for measurement and deformed, and an operating section (114) for converting the linear intensity distribution in each period of the deformed lattice pattern into a linear phase distribution having a phase varying linearly.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: March 7, 2006
    Assignee: Citizen Watch Co., Ltd.
    Inventor: Hiroo Fujita
  • Patent number: 7009695
    Abstract: An area of a substrate is imaged with and without heating, to obtain a hot image and a cold image respectively. The hot and cold images are compared with one another to identify one or more locations as being defective, e.g. if the result of comparison at one location differs significantly relative to other locations. The comparison results in all locations form a differential image, and in several embodiments a number of differential images are obtained by repeatedly heating, imaging and comparing. In such embodiments, multiple differential images are averaged at each location, to improve the signal to noise ratio. Pump and probe lasers may be used for heating and for illumination respectively, or alternatively a single laser may be employed to generate both pump and probe beams.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: March 7, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Daniel I. Some
  • Patent number: 7002677
    Abstract: An inspection tool embodiment includes an illumination source for directing a light beam onto a workpiece to generate scattered light that includes the ordinary scattering pattern of the workpiece as well as light scattered from defects of the workpiece. The embodiment includes a programmable light selection array that receives light scattered from the workpiece and selectively directs the light scattered from defects onto a photosensor which detects the defect signal. Processing circuitry receives the defect signal and conducts surface analysis of the workpiece that can include the characterizing of defects of the workpiece. The programmable light selection arrays can include, but are not limited to, reflector arrays and filter arrays. The invention also includes associated surface inspection methods.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: February 21, 2006
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Christopher F. Bevis, Paul J. Sullivan, David W. Shortt, George J. Kren
  • Patent number: 6999183
    Abstract: A linear position array detector system is provided which imparts light energy to a surface of a specimen, such as a semiconductor wafer, receives light energy from the specimen surface and monitors deviation of the retro or reflected beam from that expected to map the contours on the specimen surface. The retro beam will, with ideal optical alignment, return along the same path as the incident beam if and only if the surface is normal to the beam. The system has a measurement device or sensor within the path of the retro or reflected beam to measure deviation of the retro beam from expected. The sensor is preferably a multiple element array of detector-diodes aligned in a linear fashion. A unique weighting and summing scheme is provided which increases the mechanical dynamic range while preserving sensitivity.
    Type: Grant
    Filed: November 18, 1998
    Date of Patent: February 14, 2006
    Assignee: KLA-Tencor Corporation
    Inventors: Henrik K. Nielsen, Lionel Kuhlmann, Mark Nokes
  • Patent number: 6999174
    Abstract: Methods and apparatus for simultaneous or sequential, rapid analysis of multiple samples by photoacoustic spectroscopy are disclosed. Particularly, a photoacoustic spectroscopy sample array vessel including a vessel body having multiple sample cells connected thereto is disclosed. At least one acoustic detector is acoustically positioned near the sample cells. Methods for analyzing the multiple samples in the sample array vessels using photoacoustic spectroscopy are provided.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: February 14, 2006
    Assignee: Battelle Memorial Institute
    Inventors: James E. Amonette, S. Thomas Autrey, Nancy S. Foster-Mills
  • Patent number: 6995849
    Abstract: A displacement sensor is provided with: a light-projection unit; a light-receiving unit having a light-shielding mask and a light-receiving element; a first light-converging element which converges luminous fluxes released from the light-projection unit on a measuring object; a second light-converging element which converges reflected luminous fluxes on the light-receiving unit; a first light-path control element which allows a projection-light light axis and a receiving-light light axis to be coaxially set on the measuring object side; and a light-path length sweeping mechanism which continuously changes a light-path length from the light-projection unit to the measuring object and a light-path length from the measuring object to the light-receiving unit.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: February 7, 2006
    Assignee: Omron Corporation
    Inventors: Tetsuya Uno, Hiroaki Takimasa, Takahiro Suga
  • Patent number: 6992770
    Abstract: Disclosed herein is a sensor utilizing attenuated total reflection. The sensor includes a first dielectric block; a thin film layer, formed on a first face of the dielectric block, for placing a sample thereon; and a light source for emitting a light beam. The sensor further includes an optical incidence system for collimating the light beam, and making the collimated light beam enter the dielectric block at a predetermined incidence angle so that a condition for total internal reflection is satisfied at an interface between the dielectric block and the thin film layer; a photodetector for detecting the refractive index distribution of the sample obtained within a plane along the interface, by detecting an image carried by the light beam totally reflected at the interface; and an optical compensation system for compensating for image distortion produced by the dielectric block when the predetermined incidence angle of the light beam varies.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: January 31, 2006
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Masayuki Naya
  • Patent number: 6987561
    Abstract: A system and apparatus for testing a micromachined optical device includes a computerized test station that generates signals to control the micromachined optical device as well as various test equipment and analyzes signals generated by the micromachined optical device and various test equipment. The computerized test station typically provides for both manual and automated testing of the micromachined optical device. In order to test the micromachined optical device, various optical measurement devices are typically mounted on a frame. The frame is configured so as to maintain proper alignment between the optical measurement devices and the micromachined device under test. The frame is mounted to or integral with a focusing device. The frame moves along with focusing movements of the focusing device in such a way that the optical measurement devices are properly aligned with the micromachined device under test when the focusing device is focused on the micromachined device under test.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: January 17, 2006
    Assignee: Analog Devices, Inc.
    Inventors: Yakov Reznichenko, Aaron Lowenberger
  • Patent number: 6987572
    Abstract: Methods and systems for evaluating and controlling a lithography process are provided. For example, a method for reducing within wafer variation of a critical metric of a lithography process may include measuring at least one property of a resist disposed upon a wafer during the lithography process. A critical metric of a lithography process may include, but may not be limited to, a critical dimension of a feature formed during the lithography process. The method may also include altering at least one parameter of a process module configured to perform a step of the lithography process to reduce within wafer variation of the critical metric. The parameter of the process module may be altered in response to at least the one measured property of the resist.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: January 17, 2006
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Suresh Lakkapragada, Kyle A. Brown, Matt Hankinson, Ady Levy
  • Patent number: 6987568
    Abstract: An apparatus and method for measuring spatially varying bidirectional reflectance distribution function and method are provided. The apparatus and method provide means to illuminate different areas of a sample at different angles of incidence and detect the angular variation of radiation emitted from the sample in response to the illumination. The apparatus includes a paraboloidal reflector for delivering the illumination and receiving the radiation emitted by the sample, a radiation source for generating a beam of collimated radiation, a beam steering device for controlling the angle of incidence with which the focused cone of light strikes the sample, and a detector to receive the collected light from the reflector.
    Type: Grant
    Filed: November 14, 2001
    Date of Patent: January 17, 2006
    Assignee: Rutgers, The State University of New Jersey
    Inventor: Kristin J. Dana
  • Patent number: 6985239
    Abstract: An apparatus for sensing position data of a light pattern created by a known light source on an object, comprising an optical system for collecting an incident light beam of the light pattern and transmitting the incident light beam to a beam splitter. The beam splitter has a known reflection/transmission ratio, such that the incident light beam from the light pattern received on the surface of the beam splitter results in a transmission channel, transmitted through the beam splitter, and a reflective channel, reflected from the beam splitter, with intensities of the transmission channel and the reflective channel varying as a function of a position of the incident light beam on the surface of the beam splitter. Detectors detect the intensities of the reflective channel and of the transmission channels. Dimensions of points of the light pattern on the object are calculable as a function of the intensity of the reflective channel and of the intensity of the transmission channel.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: January 10, 2006
    Assignee: Institut National d'Optique
    Inventors: Michel Doucet, Denis Boulanger, John Laurent
  • Patent number: 6985219
    Abstract: A method and system of testing integrated circuits (IC) via optical coupling. The optical system includes an optical fiber, fixture and focussing element. In addition, channels are provided in the fixture mounted on the integrated circuit to accommodate the optical system. The fixture acts as a heat sink. As such, one or more photosensitive elements/targets on the integrated circuit are probed using light that is brought to a focus on each target site. The light causes latching of data into the integrated circuit (which is operating under influence of a test program) and formation of a test pattern output from the integrated circuit that is used to confirm proper functioning of the IC.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 10, 2006
    Assignee: Credence Systems Corporation
    Inventors: Kenneth R. Wilsher, Steven A Kasapi
  • Patent number: 6985222
    Abstract: A system and method for detecting chamber leakage by measuring the reflectivity of an oxidized thin film. In a preferred embodiment, a method of detecting leaks in a chamber includes providing a first monitor workpiece, placing the first monitor workpiece in the chamber, and forming at least one film on the first monitor workpiece. The reflectivity of the least one film of the first monitor workpiece is measured, wherein the reflectivity indicates whether there are leaks in the at least one seal of the chamber. In another embodiment, the method includes providing a second monitor workpiece, placing the second monitor workpiece in the chamber, and forming at least one film on the second monitor workpiece. The reflectivity of the at least one film of the second monitor workpiece is measured, and the second monitor workpiece film reflectivity is compared to the first monitor workpiece film reflectivity.
    Type: Grant
    Filed: April 25, 2003
    Date of Patent: January 10, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsi-Kuei Cheng, Chu-Chang Chen, Ting-Chun Wang, Szu-An Wu, Ying-Lang Wang, Hsien-Ping Feng
  • Patent number: 6985221
    Abstract: A method for measuring the wall thickness of plastic containers (16) during a container manufacturing process includes providing a plastic container (16), the plastic container (16) having a longitudinal axis and at least two side walls spaced radially from the longitudinal axis. The side walls are formed of a material that absorbs light energy in a predetermined molecular absorption band. Light energy is then directed from a source unit (32) through the at least two side walls of the plastic container (16) in a plane transverse to the longitudinal axis of the plastic container (16). A portion of the light energy that passes through the sidewalls of the container (16) is sensed by a sensor (34), and a signal representing a thickness of the sidewalls of the plastic container is generated from the sensed portion of the energy by a computer (56).
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: January 10, 2006
    Assignee: Petwall, LLC
    Inventors: Frank E. Semersky, Dennis T. Sturgill