Patents Examined by Roberts P Culbert
  • Patent number: 11183367
    Abstract: Atomic layer etching (ALE) processes are disclosed. In some embodiments, the methods comprise at least one etch cycle in which the substrate is alternately and sequentially exposed to a first vapor phase non-metal halide reactant and a second vapor phase halide reactant. In some embodiments both the first and second reactants are chloride reactants. In some embodiments the first reactant is fluorinating gas and the second reactant is a chlorinating gas. In some embodiments a thermal ALE cycle is used in which the substrate is not contacted with a plasma reactant.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: November 23, 2021
    Assignee: ASM IP HOLDING B.V.
    Inventors: Tom E. Blomberg, Varun Sharma, Suvi Haukka, Marko Tuominen, Chiyu Zhu
  • Patent number: 11183396
    Abstract: A substrate processing method according to the present disclosure includes heating and removing. The heating includes heating a substrate with a copper film that is formed thereon. The removing includes removing a copper film that is formed on a peripheral part of the substrate after the heating by supplying a processing liquid that contains an acidic chemical liquid to the peripheral part.
    Type: Grant
    Filed: April 7, 2020
    Date of Patent: November 23, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinichiro Shimomura
  • Patent number: 11183393
    Abstract: A method of atomic layer etching a silicon oxide film or a silicon nitride film is provided. Atomic layer etching (ALE) is performed by repeating three steps of (1) hydrogenation step of hydrogenating a surface by irradiating a silicon oxide film or a silicon nitride film with a plasma containing H, (2) acid halide adsorption step of causing chemisorption of an acid halide represented by a formula of Rf—COX (Rf is H, F, a substituent consisting of C and F or consisting of C, H, and F, or —COX; each X is independently any halogen atom of F, Cl, Br and I) on the surface by reacting the acid halide with the hydrogenated surface through exposure to the acid halide, and (3) etching step of etching a single atomic layer by inducing chemical reactions on the surface of the acid halide-adsorbed silicon oxide film or silicon nitride film through irradiation with a plasma containing a noble gas (at least any one of He, Ar, Ne, Kr, and Xe).
    Type: Grant
    Filed: September 14, 2018
    Date of Patent: November 23, 2021
    Assignee: KANTO DENKA KOGYO CO., LTD.
    Inventors: Korehito Kato, Katsuya Fukae, Yoshinao Takahashi
  • Patent number: 11174195
    Abstract: Provided is an etching method for a glass, including an etching step (S2) of immersing a glass (G) in an etching liquid (E) to subject the glass (G) to etching treatment. The etching step (S2) includes causing the etching liquid (E) to relatively flow with respect to a surface (MS) of the glass (G), to thereby subject the glass (G) to the etching treatment.
    Type: Grant
    Filed: August 27, 2018
    Date of Patent: November 16, 2021
    Assignee: NIPPON ELECTRIC GLASS CO., LTD.
    Inventors: Yoshiyuki Sakade, Hiroaki Nakahori, Takeshi Inui
  • Patent number: 11170994
    Abstract: A method of depositing a silicon-containing material is disclosed. Some embodiments of the disclosure provide films which fill narrow CD features without a seam or void. Some embodiments of the disclosure provide films which form conformally on features with wider CD. Embodiments of the disclosure also provide superior quality films with low roughness, low defects and advantageously low deposition rates.
    Type: Grant
    Filed: January 12, 2021
    Date of Patent: November 9, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jung Chan Lee, Praket P. Jha, Jingmei Liang, Jinrui Guo, Wenhui Li
  • Patent number: 11170980
    Abstract: A method for treating a flexible plastic substrate is provided herein. The method includes establishing an atmospheric pressure plasma beam from an inert gas using a power of greater than about 90W, directing the plasma beam toward a surface of the flexible polymer substrate, and scanning the plasma beam across the surface of the polymer substrate to form a treated substrate surface.
    Type: Grant
    Filed: October 23, 2018
    Date of Patent: November 9, 2021
    Assignee: Corning Incorporated
    Inventors: Jiangwei Feng, Wageesha Senaratne
  • Patent number: 11164751
    Abstract: An etching method capable of controlling the etching rate of a silicon nitride layer and the etching rate of a silicon oxide layer to be approximately equal to each other. A body to be treated including a laminated film (5) having silicon oxide layers (2) and silicon nitride layers (3) laminated on top of each other is treated with an etching gas containing a halocarbon compound containing carbon, bromine, and fluorine. Then, the silicon oxide layer (2) and the silicon nitride layer (3) are etched at approximately equal etching rates.
    Type: Grant
    Filed: June 1, 2018
    Date of Patent: November 2, 2021
    Assignee: SHOWA DENKO K.K.
    Inventor: Tomoyuki Fukuyo
  • Patent number: 11164749
    Abstract: Examples described herein provide a method for reducing warpage when stacking semiconductor substrates. In an example, a first substrate is bonded with a second substrate to form a stack. The first substrate comprises a first semiconductor substrate, and the second substrate comprises a second semiconductor substrate. The second semiconductor substrate is thinned, and a first trench is etched into a backside of the thinned second semiconductor substrate. A first stressed material is deposited into the first trench.
    Type: Grant
    Filed: September 16, 2019
    Date of Patent: November 2, 2021
    Assignee: XILINX, INC.
    Inventors: Nui Chong, Hui-Wen Lin
  • Patent number: 11164723
    Abstract: Methods and apparatus for producing high aspect ratio features in a substrate using reactive ion etching (RIE). In some embodiments, a method comprises flowing a gas mixture of C3H2F4 and a companion gas into a process chamber, forming a plasma from the gas mixture using an RF power source connected to an upper electrode above the substrate and at least one RF bias power source connected to a lower electrode under the substrate, performing an anisotropic etch, via the plasma, of at least one layer of oxide or nitride on the substrate using a pattern mask, reducing power of the at least one RF bias power source to produce deposition of a passivation layer on the at least one layer of oxide or nitride on the substrate, and evacuating the process chamber while interrupting the RF power source to stop plasma formation.
    Type: Grant
    Filed: January 18, 2021
    Date of Patent: November 2, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Daisuke Shimizu, Taiki Hatakeyama, Sean S. Kang, Katsumasa Kawasaki, Chunlei Zhang
  • Patent number: 11152217
    Abstract: A method for selective etching of silicon oxide relative to silicon nitride includes exposing a substrate to a first gas that forms a first layer on the silicon oxide film and a second layer on the silicon nitride film, where the first gas contains boron, aluminum, or both boron and aluminum, exposing the substrate to a nitrogen-containing gas that reacts with the first layer to form a first nitride layer on the silicon oxide film and reacts with the second layer to form a second nitride layer on the silicon nitride film, where a thickness of the second nitride layer is greater than a thickness of the first nitride layer. The method further includes exposing the substrate to an etching gas that etches the first nitride layer and silicon oxide film, where the second nitride layer protects the silicon nitride film from etching by the etching gas.
    Type: Grant
    Filed: June 16, 2020
    Date of Patent: October 19, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Yu-Hao Tsai, Du Zhang, Mingmei Wang
  • Patent number: 11142830
    Abstract: A method of micro-texturing a surface is disclosed. The method includes printing an etchant onto a substrate surface and forming a micro-texture on the substrate surface by removing material from the substrate surface.
    Type: Grant
    Filed: February 8, 2019
    Date of Patent: October 12, 2021
    Assignee: The Boeing Company
    Inventors: Raj Desai, Caitlin Elizabeth Auffinger, Conor Van Camp, Edward Greene
  • Patent number: 11145516
    Abstract: A substrate processing method processes a substrate having a surface in which a plurality of recessed parts is formed. The substrate processing method includes a processing target layer removing process of etching and removing at least a part of a processing target layer by supplying an etching solution, of which an etching rate for a crystal grain of a processing target material in the processing target layer formed in the recessed part in a manner of a surface thereof is exposed is equal to an etching rate for a crystal grain boundary in the processing target layer, to the surface of the substrate.
    Type: Grant
    Filed: November 19, 2019
    Date of Patent: October 12, 2021
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Ayumi Higuchi, Yuya Akanishi
  • Patent number: 11139169
    Abstract: An etching method and an etching apparatus improve the shape of a mask deformed by an etching process. The etching method for etching a film with plasma includes a step of providing a substrate, an etching step, and a correction step. In the step of providing a substrate, a substrate having a mask formed on a first film is provided. In the etching step, the first film is etched with plasma generated from a first gas containing Xe, Kr, or Rn so that an aspect ratio of a hole or a groove formed in the first film is 30 or more. In the correction step, the shape of the mask is corrected with plasma generated from a second gas.
    Type: Grant
    Filed: June 15, 2020
    Date of Patent: October 5, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sho Kumakura, Satoshi Ohuchida, Maju Tomura
  • Patent number: 11136673
    Abstract: A method of micro-texturing a substrate surface is disclosed, including printing a maskant on the substrate surface to define exposed surface zones on the substrate surface. The method further includes forming a micro-texture on the substrate surface by removing material from the exposed surface zones, and removing the maskant from the substrate surface.
    Type: Grant
    Filed: February 8, 2019
    Date of Patent: October 5, 2021
    Assignee: The Boeing Company
    Inventors: Raj Desai, Caitlin Elizabeth Auffinger, Conor Van Camp, Edward Greene
  • Patent number: 11139163
    Abstract: Methods for selectively depositing silicon oxycarbide (SiOC) thin films on a dielectric surface of a substrate relative to a metal surface without generating significant overhangs of SiOC on the metal surface are provided. The methods can include at least one plasma enhanced atomic layer deposition (PEALD) cycle including alternately and sequentially contacting the substrate with a silicon precursor, a first Ar and H2 plasma, a second Ar plasma and an etchant.
    Type: Grant
    Filed: October 7, 2020
    Date of Patent: October 5, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Jan Willem Maes, David Kurt de Roest, Oreste Madia
  • Patent number: 11121001
    Abstract: In a disclosed method, etching a film by using plasma of a first processing gas and etching the film by using plasma of a second processing gas are alternately repeated. The first processing gas and the second processing gas each include a fluorocarbon gas. In etching the film by using the plasma of the first processing gas and etching the film by using the plasma of the second processing gas, radio frequency power is used to attract ions to the substrate. The first processing gas further includes an additive gas that is a source for nitrogen or sulfur and fluorine. In the first processing gas, the flow rate of the additive gas is smaller than the flow rate of the fluorocarbon gas.
    Type: Grant
    Filed: August 12, 2020
    Date of Patent: September 14, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Satoshi Yamada, Koki Chino, Yoshimitsu Kon
  • Patent number: 11121000
    Abstract: There is provision of a method for etching a substrate above which a first underlying film, a second underlying film positioned deeper than the first underlying film, a silicon oxide film formed on the first and second underlying films, and a mask on the silicon oxide film are provided. In the mask, first and second openings are formed above the first and second underlying films respectively. After the first underlying film is exposed by etching the silicon oxide film using a first gas, the silicon oxide film is etched by using a second gas while depositing deposits on the first underlying film, and the silicon oxide film is etched by using a third gas while removing the deposits on the first underlying film. The etching using the second gas and the etching using the third gas are repeated multiple times.
    Type: Grant
    Filed: August 3, 2020
    Date of Patent: September 14, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Watanabe, Hiroki Yamada, Manabu Sato
  • Patent number: 11104825
    Abstract: Metal compound chemically anchored colloidal particles wherein the metal compound is in molecular form are disclosed. A facile and fast process to chemically anchor metal compounds uniformly onto colloidal particle surfaces via chemical bonding has been developed. Metal compounds are chemically anchored to the surface of colloidal particles via an organic linking agent. Uniformly distributed metal compounds remain in molecular form after the process. The metal compound chemically anchored colloidal particles can be used as solid catalyst in metal chemical-mechanical planarization process.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: August 31, 2021
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Hongjun Zhou, Xiaobo Shi, Jo-Ann Theresa Schwartz
  • Patent number: 11101131
    Abstract: A method of selectively forming a silicon film on an upper portion of each of protruded portions formed on a substrate, which includes: supplying a first silicon-containing gas to the substrate and forming a first silicon film so that a film thickness of the first silicon film becomes thicker in the upper portion rather than in a lower portion of a sidewall of each protruded portion; subsequently, supplying an etching gas to the substrate and removing the first silicon film on the sidewall of each protruded portion while leaving the first silicon film on an upper surface of each protruded portion; and subsequently, supplying a second silicon-containing gas to the substrate and forming a second silicon film so that a film thickness of the second silicon film becomes thicker in the upper portion rather than in the lower portion of a sidewall of each protruded portion.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: August 24, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Rui Kanemura, Hiroyuki Hayashi
  • Patent number: 11094543
    Abstract: A method for forming a semiconductor device includes depositing a metal resist layer over a layer to be patterned that is formed over a substrate; patterning the metal resist layer using a lithography process to form a patterned metal resist layer and expose portions of the layer to be patterned; selectively depositing a silicon containing layer over the patterned resist layer by exposing the substrate to a gas mixture comprising a silicon precursor, the silicon containing layer being preferentially deposited over a top surface of the metal resist layer; and performing a surface cleaning process by exposing the layer to be patterned and the patterned metal resist layer covered with the silicon containing layer to a plasma process with an etch chemistry comprising a halogen or hydrogen.
    Type: Grant
    Filed: December 4, 2020
    Date of Patent: August 17, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yun Han, Peter Ventzek, Alok Ranjan