3D semiconductor device and structure with metal layers

- Monolithic 3D Inc.

A semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error; and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where processing of the device includes use of a carrier wafer.

Skip to: Description  ·  Claims  ·  References Cited  · Patent History  ·  Patent History
Description

This application is a continuation-in-part of U.S. patent application Ser. No. 18/236,325, filed on Aug. 21, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 18/214,524, filed on Jun. 27, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 18/141,415, filed on Apr. 29, 2023, now U.S. Pat. No. 11,784,169 issued on Oct. 10, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 18/105,826, filed on Feb. 4, 2023, now U.S. Pat. No. 11,676,945 issued on Jun. 13, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 17/986,831, filed on Nov. 14, 2022, now U.S. Pat. No. 11,605,616 issued on Mar. 14, 2023, which is a continuation-in-part of U.S. patent application Ser. No. 17/882,607, filed on Aug. 8, 2022, now U.S. Pat. No. 11,532,599 issued on Dec. 20, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/750,338, filed on May 21, 2022, now U.S. Pat. No. 11,450,646 issued on Sep. 20, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/680,297, filed on Feb. 25, 2022, now U.S. Pat. No. 11,424,222 issued on Aug. 23, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/536,019, filed on Nov. 27, 2021, now U.S. Pat. No. 11,309,292 issued on Apr. 19, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/334,928, filed on May 31, 2021, now U.S. Pat. No. 11,217,565 issued on Jan. 4, 2022, which is a continuation-in-part of U.S. patent application Ser. No. 17/195,517, filed on Mar. 8, 2021, now U.S. Pat. No. 11,063,024 issued on Jul. 13, 2021, which is a continuation-in-part of U.S. patent application Ser. No. 17/020,766, filed on Sep. 14, 2020, now U.S. Pat. No. 11,018,116 issued on May 25, 2021, which is a continuation-in-part of U.S. patent application Ser. No. 16/683,244, filed on Nov. 13, 2019, now U.S. Pat. No. 10,811,395 issued on Oct. 20, 2020, which is a continuation-in-part of U.S. patent application Ser. No. 16/409,840, filed on May 12, 2019, now U.S. Pat. No. 10,515,935 issued on Dec. 24, 2019, which is a continuation-in-part of U.S. patent application Ser. No. 15/990,684, filed on May 28, 2018, now U.S. Pat. No. 10,297,580 issued on May 21, 2019, which is a continuation-in-part of U.S. patent application Ser. No. 15/721,955, filed on Oct. 1, 2017, now U.S. Pat. No. 10,014,282 issued on Jul. 3, 2018, which is a continuation-in-part of U.S. patent application Ser. No. 15/008,444, filed on Jan. 28, 2016, now U.S. Pat. No. 9,786,636 issued on Oct. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 14/541,452, filed on Nov. 14, 2014, now U.S. Pat. No. 9,252,134 issued on Feb. 2, 2016, which is a continuation of U.S. patent application Ser. No. 14/198,041, filed on Mar. 5, 2014, now U.S. Pat. No. 8,921,970 issued on Dec. 30, 2014, which is a continuation of U.S. patent application Ser. No. 13/726,091, filed on Dec. 22, 2012, now U.S. Pat. No. 8,674,470 issued on Mar. 18, 2014. The entire contents of the foregoing applications & issued patents are incorporated herein by reference.

BACKGROUND OF THE INVENTION 1. Field of the Invention

This application relates to the general field of Integrated Circuit (IC) devices and fabrication methods, and more particularly to multilayer or Three Dimensional Integrated Circuit (3D-IC) devices and fabrication methods.

2. Discussion of Background Art

Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate the performance, functionality and power consumption of ICs.

3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.

There are many techniques to construct 3D stacked integrated circuits or chips including:

    • Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,318, 10,515,981, 10,892,016, 10,991,675, 11,121,121, 11,502,095, 10,892,016, 11,270,988; and pending U.S. Patent Application Publications and applications, Ser. Nos. 14/642,724, 15/150,395, 15/173,686, 62/651,722; 62/681,249, 62/713,345, 62/770,751, 62/952,222, 62/824,288, 63/075,067, 63/091,307, 63/115,000, 63/220,443, 2021/0242189, 2020/0013791; and PCT Applications (and Publications): PCT/US2010/052093, PCT/US2011/042071 (WO2012/015550), PCT/US2016/52726 (WO2017053329), PCT/US2017/052359 (WO2018/071143), PCT/US2018/016759 (WO2018144957), PCT/US2018/52332(WO 2019/060798), PCT/US2021/44110, and PCT/US22/44165. The entire contents of all of the foregoing patents, publications, and applications are incorporated herein by reference.
    • Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977, 10,943,934, 10,998,374, 11,063,071, and 11,133,344. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.

An early work on monolithic 3D was presented in U.S. Pat. No. 7,052,941 and follow-on work in related patents includes U.S. Pat. No. 7,470,598. A technique which has been used over the last 20 years to build SOI wafers, called “Smart-Cut” or “Ion-Cut”, was presented in U.S. Pat. No. 7,470,598 as one of the options to perform layer transfer for the formation of a monolithic 3D device. Yet in a related patent disclosure, by the same inventor of U.S. Pat. No. 7,470,598, U.S. application Ser. No. 12/618,542 it states: “In one embodiment of the previous art, exfoliating implant method in which ion-implanting Hydrogen into the wafer surface is known. But this exfoliating implant method can destroy lattice structure of the doped layer 400 by heavy ion-implanting. In this case, to recover the destroyed lattice structure, a long time thermal treatment in very high temperature is required. This long time/high temperature thermal treatment can severely deform the cell devices of the lower region.” Moreover, in U.S. application Ser. No. 12/635,496 by the same inventor is stated: [0034]Among the technologies to form the detaching layer, one of the well-known technologies is Hydrogen Exfoliating Implant. This method has a critical disadvantage which can destroy lattice structures of the substrate because it uses high amount of ion implantation. In order to recover the destroyed lattice structures, the substrate should be cured by heat treatment in very high temperature long time. This kind of high temperature heat treatment can damage cell devices in the lower regions.” Furthermore, in U.S. application Ser. No. 13/175,652 it is stated: “Among the technologies to form the detaching layer 207, one technology is called as exfoliating implant in which gas phase ions such as hydrogen is implanted to form the detaching layer, but in this technology, the crystal lattice structure of the multiple doped layers 201, 203, 205 can be damaged. In order to recover the crystal lattice damage, a thermal treatment under very high temperature and longtime should be performed, and this can strongly damage the cell devices underneath.” In fact the Inventor had posted a video infomercial on his corporate website, and was up-loaded on YouTube on Jun. 1, 2011, clearly stating in reference to the Smart Cut process: “The wafer bonding and detaching method is well-known SOI or Semiconductor-On-Insulator technology. Compared to conventional bulk semiconductor substrates, SOI has been introduced to increase transistor performance. However, it is not designed for 3D IC either. Let me explain the reasons . . . . The dose of hydrogen is too high and, therefore, semiconductor crystalline lattices are demolished by the hydrogen ion bombardment during the hydrogen ion implantation. Therefore, typically annealing at more than 1,100 Celsius is required for curing the lattice damage after wafer detaching. Such high temperature processing certainly destroys underlying devices and interconnect layers. Without high temperature annealing, the transferred layer should be the same as a highly defective amorphous layer. It seems that there is no way to cure the lattice damage at low temperatures. BeSang has disruptive 3D layer formation technology and it enables formation of defect-free single crystalline semiconductor layer at low temperatures . . . ”

In at least one embodiment presented herein, an innovative method to repair the crystal lattice damage caused by the hydrogen implant is described.

Regardless of the technique used to construct 3D stacked integrated circuits or chips, heat removal is a serious issue for this technology. For example, when a layer of circuits with power density P is stacked atop another layer with power density P, the net power density is 2P. Removing the heat produced due to this power density is a significant challenge. In addition, many heat producing regions in 3D stacked integrated circuits or chips have a high thermal resistance to the heat sink, and this makes heat removal even more difficult.

Several solutions have been proposed to tackle this issue of heat removal in 3D stacked integrated circuits and chips. These are described in the following paragraphs.

Publications have suggested passing liquid coolant through multiple device layers of a 3D-IC to remove heat. This is described in “Microchannel Cooled 3D Integrated Systems”, Proc. Intl. Interconnect Technology Conference, 2008 by D. C. Sekar, et al., and “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008 by T. Brunschweiler, et al.

Thermal vias have been suggested as techniques to transfer heat from stacked device layers to the heat sink. Use of power and ground vias for thermal conduction in 3D-ICs has also been suggested. These techniques are described in “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), May 2009 by Hao Yu, Joanna Ho and Lei He.

Other techniques to remove heat from 3D Integrated Circuits and Chips will be beneficial.

Additionally the 3D technology according to some embodiments of the invention may enable some very innovative IC alternatives with reduced development costs, increased yield, and other illustrative benefits.

SUMMARY

The invention may be directed to multilayer or Three Dimensional Integrated Circuit (3D IC) devices and fabrication methods.

In one aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer over the first silicon layer; a second metal layer over the first metal layer; a first level including a plurality of transistors over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer over the first level; a fourth metal layer over the third metal layer, where the fourth metal layer is aligned to the first metal layer with less than 40 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm.

In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer over the first silicon layer, a second metal layer over the first metal layer, a first level including a plurality of transistors over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer over the first level; a fourth metal layer over the third metal layer, where the fourth metal layer is aligned to the first metal layer with less than 40 nm alignment error, and a via disposed through the first level, where the via includes tungsten.

In another aspect, a 3D semiconductor device, the device including: a first level overlaid by a second level, the first level including first circuits, where the first circuits include single crystal first transistors and include first interconnections, where the second level includes second circuits, the second circuits include single crystal second transistors and include second interconnections, where the first circuit is aligned to the second circuit with less than 200 nm misalignment; and a Global Power distribution network, where the first level includes at least one Local Power distribution network, where the Global Power distribution network distributes power to the Local Power distribution network, where the Global Power distribution network includes wires including an at least 50% greater conductivity than wires of the Local Power distribution network.

In another aspect, a semiconductor device, the device including: a first silicon layer including single crystal silicon; a first metal layer over the first silicon layer, second metal layer over the first metal layer, a first level including a plurality of transistors over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer over the first level; a fourth metal layer over the third metal layer, where the fourth metal layer is aligned to the first metal layer with less than 40 nm alignment error, a global power distribution network and a local power distribution network, where the global power distribution network includes the fourth metal layer, and where the local power distribution network includes the third metal layer.

In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer, forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than ten microns, where the device includes an upper most semiconductor level, where the upper most semiconductor level includes Input/Output (“I/O”) circuits designed to connect the device to external devices, and where the bonding is hybrid bonding.

In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than ten microns; and forming heat removal paths designed to remove heat from the second circuits to an external surface of the 3D semiconductor device, where the bonding is hybrid bonding.

In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than thirty microns, where the bonding includes oxide to oxide bonds, where the bonding includes metal to metal bonds, and where at least one of the metal to metal bond structures has a pitch of less than 1 micron from another of the metal to metal bond structures.

In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than thirty microns, where the device includes an upper most semiconductor level, where the upper most semiconductor level includes Input/Output (“I/O”) circuits designed to connect the device to external devices, and where the bonding is hybrid bonding.

In another aspect, a method to form a 3D semiconductor device, the method including: providing a first level including first circuits, the first circuits including first transistors and first interconnection; preparing a second level including a silicon layer; forming second circuits over the second level, the second circuits including second transistors and second interconnection; transferring with bonding the second level on top of the first level; and then thinning the second level to a thickness of less than thirty microns; and forming heat removal paths designed to remove heat from the second circuits to an external surface of the 3D semiconductor device, where the bonding is hybrid bonding.

In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a power distribution network, where the power distribution network includes the third metal layer, and a plurality of connection paths from the power distribution network to the plurality of transistors.

In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and connection pads disposed over a portion of the fourth metal layer, where the connection pads are capable to include connection to external devices.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the first level, where the first level thickness is less than two microns.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon and plurality of first transistors; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, a second level including a plurality of second transistors, the first level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the second level, where the second level thickness is less than two microns, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than the typical thickness of the second metal layer by at least 50%.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, a second level including a plurality of second transistors, the first level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the second level, where the via has a diameter of less than 450 nm, where the fifth metal layer include global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.

In another aspect, a semiconductor device, the device including a first silicon layer including a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer, a third metal layer disposed over the second metal layer, a second level including a plurality of second transistors, the first level disposed over the third metal layer, a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the second level, where the via has a diameter of less than 450 nm, where at least one of the plurality of second transistors includes a metal gate, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.

In another aspect, a semiconductor device, the device including: a first silicon layer comprising a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer; a third metal layer disposed over the second metal layer, a second level comprising a plurality of second transistors, the second level disposed over the third metal layer, a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer, a connection path from the fifth metal layer to the second metal layer, where the connection path includes a via disposed through the second level, where the via has a diameter of less than 450 nm, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.

In another aspect, a semiconductor device, the device including: a first silicon layer comprising a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a third metal layer disposed over the second metal layer; a second level comprising a plurality of second transistors, the second level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer; a connection path from the fifth metal layer to the second metal layer, where the connection path includes a via disposed through the second level, where the via has a diameter of less than 450 nm, where a typical thickness of the second metal layer is greater than a typical thickness of the third metal layer by at least 50%, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.

In another aspect, a semiconductor device, the device including: a first silicon layer comprising a first single crystal silicon and a plurality of first transistors; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a third metal layer disposed over the second metal layer; a second level comprising a plurality of second transistors, the second level disposed over the third metal layer; a fourth metal layer disposed over the second level; a fifth metal layer disposed over the fourth metal layer; a connection path from the fifth metal layer to the second metal layer, where the connection path includes a via disposed through the second level, where the via has a diameter of less than 450 nm, where the second level includes an array of memory cells, where each of the memory cells includes at least one of the plurality of second transistors, where the fifth metal layer includes a global power distribution grid, and where a typical thickness of the fifth metal layer is greater than a typical thickness of the second metal layer by at least 50%.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first silicon layer; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the first level, where the first level thickness is less than two microns.

In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and connection pads disposed over a portion of the fourth metal layer, where the connection pads are capable to include connection to external devices.

In another aspect, a semiconductor device, the device including: a first silicon layer including first single crystal silicon; a first metal layer disposed over the first silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a power distribution network, where the power distribution network includes the third metal layer, and a plurality of connection paths from the power distribution network to the plurality of transistors.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first single crystal silicon layer; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and a via disposed through the first level, where the fourth metal layer provides a global power distribution, and where a typical thickness of the fourth metal layer is at least 50% greater than a typical thickness of the third metal.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first single crystal silicon layer; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and connection pads disposed under a portion of the first metal layer, where the connection pads are capable to include connection to external devices.

In another aspect, a semiconductor device, the device including: a first silicon layer including a first single crystal silicon; a first metal layer disposed over the first single crystal silicon layer, a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, and where at least one of the plurality of transistors includes a two sided gate.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 100 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where a typical thickness of the fourth metal layer is at least 50% greater than a typical thickness of the third metal.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 40 nm alignment error, a via disposed through the first level; and where the fourth metal layer is formed into heat removal paths designed to remove heat from the plurality of transistors to an external surface of the device.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include a second single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 100 nm alignment error, and connection pads disposed above a portion of the fourth metal layer, where the connection pads are capable to include connection to external devices.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where the via is directly connected to at least one of the plurality of transistors.

In another aspect, a semiconductor device, the device including: a substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where at least a portion of the third metal layer and a portion of the fourth metal layer are part of heat removal paths, and where the heat removal paths are designed to remove heat from the plurality of transistors to an external surface of the device.

In another aspect, a semiconductor device, the device including: a substrate; a first metal layer disposed over the substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, a global power distribution network; and a local power distribution network, where the global power distribution network includes the fourth metal layer, where the local power distribution network includes the third metal layer, and where a typical thickness of the fourth metal layer is at least 50% greater than a typical thickness of the third metal.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer, a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where the device includes at least one power supply circuit.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where a portion of the third metal layer and/or a portion of the fourth metal layer are part of heat removal paths, and where an average thickness of the fourth metal layer is greater than an average thickness of the second metal layer by at least 40%.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, a global power distribution network; a local power distribution network, where the global power distribution network includes the fourth metal layer, and where the local power distribution network includes the third metal layer; and connection pads disposed over a portion of the fourth metal layer, where the connection pads are capable to provide connection to external devices.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors each include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, where the via has a diameter of less than 450 nm, where the fourth metal layer provides a global power distribution, and where processing of the device includes use of a carrier wafer.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a single crystal layer and a plurality of transistors, the first level disposed over the second metal layer, where an average thickness of the single crystal layer is less than one micron; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, and a via disposed through the first level, and where processing of the single crystal layer to the thickness includes use of at least one etch rate selectivity.

In another aspect, a semiconductor device, the device including: a first substrate; a first metal layer disposed over the first substrate; a second metal layer disposed over the first metal layer; a first level including a plurality of transistors, the first level disposed over the second metal layer, where the plurality of transistors include single crystal silicon; a third metal layer disposed over the first level; a fourth metal layer disposed over the third metal layer, where the fourth metal layer is aligned to the first metal layer with a less than 200 nm alignment error, a global power distribution network; a local power distribution network, where the global power distribution network includes the fourth metal layer, and where the local power distribution network includes the third metal layer; and at least one direct contact, where the at least one direct contact connects at least one of the plurality of transistors to the third metal layer.

BRIEF DESCRIPTION OF THE DRAWINGS

Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:

FIG. 1 is an exemplary drawing illustration of a 3D integrated circuit;

FIG. 2 is an exemplary drawing illustration of another 3D integrated circuit;

FIG. 3 is an exemplary drawing illustration of the power distribution network of a 3D integrated circuit;

FIGS. 4A-4G are exemplary drawing illustrations of the integration of a shield/heat sink layer in a 3D-IC;

FIGS. 5A-5H are exemplary drawing illustrations of a process flow for manufacturing fully depleted MOSFET (FD-MOSFET) with an integrated shield/heat sink layer;

FIG. 6 shows a junction-less transistor as a switch for logic applications (prior art);

FIGS. 7A-7M show a one-mask per layer 3D floating body DRAM;

FIGS. 8A-8J show a zero-mask per layer 3D resistive memory with a junction-less transistor,

FIGS. 9A-9G show a zero-mask per layer 3D charge-trap memory;

FIGS. 10A-10B show periphery on top of memory layers;

FIGS. 11A-11E show polysilicon select devices for 3D memory and peripheral circuits at the bottom according to some embodiments of the current invention;

FIGS. 12A-12F show polysilicon select devices for 3D memory and peripheral circuits at the top according to some embodiments of the current invention;

FIGS. 13A-13D are exemplary drawing illustrations of at least one layer of connections below a layer of transistors, and macro-cell formation

DETAILED DESCRIPTION

Various embodiments of the invention are now described with reference to the drawing figures. Persons of ordinary skill in the art will appreciate that the description and figures illustrate rather than limit the invention and that in general the figures are not drawn to scale for clarity of presentation. Such skilled persons will also realize that many more embodiments are possible by applying the inventive principles contained herein and that such embodiments fall within the scope of the invention which is not to be limited except by the appended claims.

Some drawing figures may describe process flows for building devices. The process flows, which may be a sequence of steps for building a device, may have many structures, numerals and labels that may be common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in the previous steps' figures.

FIG. 1 illustrates a 3D integrated circuit. Two crystalline layers, 0104 and 0116, which may include semiconductor materials such as, for example, mono-crystalline silicon, germanium, GaAs, InP, and graphene, are shown. For this illustration, mono-crystalline (single crystal) silicon may be used. Silicon layer 0116 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum. Silicon layer 0104 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum; however, due to strength considerations, silicon layer 0104 may also be of thicknesses greater than 100 um, depending on, for example, the strength of bonding to heat removal apparatus 0102. Silicon layer 0104 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0114, gate dielectric region 0112, source and drain junction regions (not shown), and shallow trench isolation (STI) regions 0110. Silicon layer 0116 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0134, gate dielectric region 0132, source and drain junction regions (not shown), and shallow trench isolation (STI) regions 0130. A through-silicon via (TSV) 0118 could be present and may have an associated surrounding dielectric region 0120. Wiring layers 0108 for silicon layer 0104 and wiring dielectric regions 0106 may be present and may form an associated interconnect layer or layers. Wiring layers 0138 for silicon layer 0116 and wiring dielectric 0136 may be present and may form an associated interconnect layer or layers.

Through-silicon via (TSV) 0118 may connect to wiring layers 0108 and wiring layers 0138 (not shown). The heat removal apparatus 0102 may include a heat spreader and/or a heat sink. The heat removal problem for the 3D integrated circuit shown in FIG. 1 is immediately apparent. The silicon layer 0116 is far away from the heat removal apparatus 0102, and it may be difficult to transfer heat among silicon layer 0116 and heat removal apparatus 0102. Furthermore, wiring dielectric regions 0106 may not conduct heat well, and this increases the thermal resistance among silicon layer 0116 and heat removal apparatus 0102. Silicon layer 0104 and silicon layer 0116 may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n− silicon layer or region. The heat removal apparatus 0102 may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.

FIG. 2 illustrates an exemplary 3D integrated circuit that could be constructed, for example, using techniques described in U.S. Pat. Nos. 8,273,610, 8,557,632, and 8,581,349. The contents of the foregoing patent and applications are incorporated herein by reference. Two crystalline layers, 0204 and 0216, which may include semiconductor materials such as, for example, mono-crystalline silicon, germanium, GaAs, InP, and graphene, are shown. For this illustration, mono-crystalline (single crystal) silicon may be used. Silicon layer 0216 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum. Silicon layer 0204 could be thinned down from its original thickness, and its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum; however, due to strength considerations, silicon layer 0204 may also be of thicknesses greater than 100 um, depending on, for example, the strength of bonding to heat removal apparatus 0202. Silicon layer 0204 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0214, gate dielectric region 0212, source and drain junction regions (not shown for clarity) and shallow trench isolation (STI) regions 0210. Silicon layer 0216 may include transistors such as, for example, MOSFETS, FinFets, BJTs, HEMTs, HBTs, which may include gate electrode region 0234, gate dielectric region 0232, source and drain junction regions (not shown for clarity), and shallow trench isolation (STI) regions 0222. It can be observed that the STI regions 0222 can go right through to the bottom of silicon layer 0216 and provide good electrical isolation. This, however, may cause challenges for heat removal from the STI surrounded transistors since STI regions 0222 are typically composed of insulators that do not conduct heat well. Therefore, the heat spreading capabilities of silicon layer 0216 with STI regions 0222 are low. A through-layer via (TLV) 0218 may be present and may include an associated surrounding dielectric region 0220. Wiring layers 0208 for silicon layer 0204 and wiring dielectric regions 0206 may be present and may form an associated interconnect layer or layers. Wiring layers 0238 for silicon layer 0216 and wiring dielectric 0236 may be present and may form an associated interconnect layer or layers. Through-layer via (TL V) 0218 may connect to wiring layers 0208 and wiring layers 0238 (not shown). The heat removal apparatus 0202 may include a heat spreader and/or a heat sink. The heat removal problem for the 3D integrated circuit shown in FIG. 2 is immediately apparent. The silicon layer 0216 may be far away from the heat removal apparatus 0202, and it may be difficult to transfer heat among silicon layer 0216 and heat removal apparatus 0202. Furthermore, wiring dielectric regions 0206 may not conduct heat well, and this increases the thermal resistance among silicon layer 0216 and heat removal apparatus 0202. The heat removal challenge is further exacerbated by the poor heat spreading properties of silicon layer 0216 with STI regions 0222. Silicon layer 0204 and silicon layer 0216 may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n− silicon layer or region. The heat removal apparatus 0202 may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.

FIG. 3 illustrates how the power or ground distribution network of a 3D integrated circuit could assist heat removal. FIG. 3 illustrates an exemplary power distribution network or structure of the 3D integrated circuit. As shown in FIGS. 1 and 2, a 3D integrated circuit, could, for example, be constructed with two silicon layers, first silicon layer 0304 and second silicon layer 0316. The heat removal apparatus 0302 could include, for example, a heat spreader and/or a heat sink. The power distribution network or structure could consist of a global power grid 0310 that takes the supply voltage (denoted as Von) from the chip/circuit power pads and transfers Von to second local power grid 0308 and first local power grid 0306, which transfers the supply voltage to logic/memory cells, transistors, and/or gates such as second transistor 0314 and first transistor 0315. Second layer vias 0318 and first layer vias 0312, such as the previously described TSV or TL V, could be used to transfer the supply voltage from the global power grid 0310 to second local power grid 0308 and first local power grid 0306. The global power grid 0310 may also be present among first silicon layer 0304 and second silicon layer 0316. The 3D integrated circuit could have a similarly designed and laid-out distribution networks, such as for ground and other supply voltages, as well. The power grid may be designed and constructed such that each layer or strata of transistors and devices may be supplied with a different value Vdd. For example, first silicon layer 0304 may be supplied by its power grid to have a Vdd value of 1.0 volts and second silicon layer 0316 a Vdd value of 0.8 volts. Furthermore, the global power grid 0310 wires may be constructed with substantially higher conductivity, for example 30% higher, 50% higher, 2× higher, than local power grids, for example, such as first local power grid 0306 wires and second local power grid 0308 wires. The thickness, linewidth, and material composition for the global power grid 0310 wires may provide for the higher conductivity, for example, the thickness of the global power grid 0310 wires may be twice that of the local power grid wires and/or the linewidth of the global power grid 0310 wires may be 2× that of the local power grid wires. Moreover, the global power grid 0310 may be optimally located in the top strata or layer of transistors and devices.

Typically, many contacts may be made among the supply and ground distribution networks and first silicon layer 0304. Due to this, there could exist a low thermal resistance among the power/ground distribution network and the heat removal apparatus 0302. Since power/ground distribution networks may be typically constructed of conductive metals and could have low effective electrical resistance, the power/ground distribution networks could have a low thermal resistance as well. Each logic/memory cell or gate on the 3D integrated circuit (such as, for example, second transistor 0314) is typically connected to VDD and ground, and therefore could have contacts to the power and ground distribution network. The contacts could help transfer heat efficiently (for example, with low thermal resistance) from each logic/memory cell or gate on the 3D integrated circuit (such as, for example, second transistor 0314) to the heat removal apparatus 0302 through the power/ground distribution network and the silicon layer 0304. Silicon layer 0304 and silicon layer 0316 may be may be substantially absent of semiconductor dopants to form an undoped silicon region or layer, or doped, such as, for example, with elemental or compound species that form a p+, or p, or p−, or n+, or n, or n-silicon layer or region. The heat removal apparatus 0302 may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.

Defect annealing, such as furnace thermal or optical annealing, of thin layers of the crystalline materials generally included in 3D-ICs to the temperatures that may lead to substantial dopant activation or defect anneal, for example above 600° C., may damage or melt the underlying metal interconnect layers of the stacked 3D-IC, such as copper or aluminum interconnect layers. An embodiment of the invention is to form 3D-IC structures and devices wherein a heat spreading, heat conducting and/or optically reflecting or absorbent material layer or layers (which may be called a shield) is incorporated between the sensitive metal interconnect layers and the layer or regions being optically irradiated and annealed, or annealed from the top of the 3D-IC stack using other methods. An exemplary generalized process flow is shown in FIGS. 4A-F. An exemplary process flow for a FD-MOSFET with an optional integrated heat shield/spreader is shown in FIGS. 5A-5H. The 3D-ICs may be constructed in a 3D stacked layer using procedures outlined herein (such as, for example, FIGS. 39, 40, 41 of parent now U.S. Pat. No. 8,674,470) and in U.S. Pat. Nos. 8,273,610 and 8,557,632 and 8,581,349. The contents of the foregoing applications are incorporated herein by reference. The topside defect anneal may include optical annealing to repair defects in the crystalline 3D-IC layers and regions (which may be caused by the ion-cut implantation process), and may be utilized to activate semiconductor dopants in the crystalline layers or regions of a 3D-IC, such as, for example, LDD, halo, source/drain implants. The 3D-IC may include, for example, stacks formed in a monolithic manner with thin layers or stacks and vertical connection such as TL Vs, and stacks formed in an assembly manner with thick (>2 um) layers or stacks and vertical connections such as TSVs. Optical annealing beams or systems, such as, for example, a laser-spike anneal beam from a commercial semiconductor material oriented single or dual-beam continuous wave (CW) laser spike anneal DB-LSA system of Ultratech Inc., San Jose, CA, USA (10.6 um laser wavelength), or a short pulse laser (such as 160 ns), with 308 nm wavelength, and large area (die or step-field sized, including 1 cm2) irradiation such as offered by Excico of Gennevilliers, France, may be utilized (for example, see Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012). Additionally, the defect anneal may include, for example, laser anneals (such as suggested in Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64), Ultrasound Treatments (UST), megasonic treatments, and/or microwave treatments. The topside defect anneal ambient may include, for example, vacuum, high pressure (greater than about 760 torr), oxidizing atmospheres (such as oxygen or partial pressure oxygen), and/or reducing atmospheres (such as nitrogen or argon). The topside defect anneal may include temperatures of the layer being annealed above about 400° C. (a high temperature thermal anneal), including, for example, 600° C., 800° C., 900° C., 1000° C., 1050° C., 1100° C. and/or 1120° C., and the sensitive metal interconnect (for example, may be copper or aluminum containing) and/or device layers below may not be damaged by the annealing process, for example, which may include sustained temperatures that do not exceed 200° C., exceed 300° C., exceed 370° C., or exceed 400° C. As understood by those of ordinary skill in the art, short-timescale (nanosceonds to miliseconds) temperatures above 400° C. may also be acceptable for damage avoidance, depending on the acceptor layer interconnect metal systems used. The topside defect anneal may include activation of semiconductor dopants, such as, for example, ion implanted dopants or PLAD applied dopants. It will also be understood by one of ordinary skill in the art that the methods, such as the heat sink/shield layer and/or use of short pulse and short wavelength optical anneals, may allow almost any type of transistor, for example, such as FinFets, bipolar, nanowire transistors, to be constructed in a monolithic 3D fashion as the thermal limit of damage to the underlying metal interconnect systems is overcome. Moreover, multiple pulses of the laser, other optical annealing techniques, or other anneal treatments such as microwave, may be utilized to improve the anneal, activation, and yield of the process. The transistors formed as described herein may include many types of materials; for example, the channel and/or source and drain may include single crystal materials such as silicon, germanium, or compound semiconductors such as GaAs, InP, GaN, SiGe, and although the structures may be doped with the tailored dopants and concentrations, they may still be substantially crystalline or mono-crystalline.

As illustrated in FIG. 4A, a generalized process flow may begin with a donor wafer 400 that may be preprocessed with wafer sized layers 402 of conducting, semi-conducting or insulating materials that may be formed by deposition, ion implantation and anneal, oxidation, epitaxial growth, combinations of above, or other semiconductor processing steps and methods. For example, donor wafer 400 and wafer sized layers 402 may include semiconductor materials such as, for example, mono-crystalline silicon, germanium, GaAs, InP, and graphene. For this illustration, mono-crystalline (single crystal) silicon and associated silicon oriented processing may be used. The donor wafer 400 may be preprocessed with a layer transfer demarcation plane (shown as dashed line) 499, such as, for example, a hydrogen implant cleave plane, before or after (typical) wafer sized layers 402 are formed. Layer transfer demarcation plane 499 may alternatively be formed within wafer sized layers 402. Other layer transfer processes, some described in the referenced patent documents, may alternatively be utilized. Damage/defects to the crystalline structure of donor wafer 400 may be annealed by some of the annealing methods described, for example the short wavelength pulsed laser techniques, wherein the donor wafer 400 wafer sized layers 402 and portions of donor wafer 400 may be heated to defect annealing temperatures, but the layer transfer demarcation plane 499 may be kept below the temperate for cleaving and/or significant hydrogen diffusion. Dopants in at least a portion of wafer sized layers 402 may also be electrically activated. Thru the processing, donor wafer 400 and/or wafer sized layers 402 could be thinned from its original thickness, and their/its final thickness could be in the range of about 0.01 um to about 50 um, for example, 10 nm, 100 nm, 200 nm, 0.4 um, 1 um, 2 um or Sum. Donor wafer 400 and wafer sized layers 402 may include preparatory layers for the formation of horizontally or vertically oriented types of transistors such as, for example, MOSFETS, FinFets, FD-RCATs, BJTs, HEMTs, HBTs, JFETs, JLTs, or partially processed transistors (for example, the replacement gate HKMG process described in the referenced patent documents). Donor wafer 400 and wafer sized layers 402 may include the layer transfer devices and/or layer or layers contained herein this document or referenced patent documents, for example, DRAM Si/SiO2 layers, RCAT doped layers, multi-layer doped structures, or starting material doped or undoped monocrystalline silicon, or polycrystalline silicon. Donor wafer 400 and wafer sized layers 402 may have alignment marks (not shown). Acceptor wafer 410 may be a preprocessed wafer, for example, including monocrystalline bulk silicon or SOI, that may have fully functional circuitry including metal layers (including aluminum or copper metal interconnect layers that may connect acceptor wafer 410 transistors and metal structures, such as TL V landing strips and pads, prepared to connect to the transferred layer devices) or may be a wafer with previously transferred layers, or may be a blank carrier or holder wafer, or other kinds of substrates suitable for layer transfer processing. Acceptor wafer 410 may have alignment marks 490 and metal connect pads or strips 480 and ray blocked metal interconnect 481. Acceptor wafer 410 may include transistors such as, for example, MOSFETS, FinFets, FD-RCATs, BJTs, JFETs, JLTs, HEMTs, and/or HBTs. Acceptor wafer 410 may include shield/heat sink layer 488, which may include materials such as, for example, Aluminum, Tungsten (a refractory metal), Copper, silicon or cobalt based silicides, or forms of carbon such as carbon nanotubes or DLC (Diamond Like Carbon). Shield/heat sink layer 488 may have a thickness range of about 50 nm to about 1 mm, for example, 50 nm, 100 nm, 200 nm, 300 nm, 500 nm, 0.1 um, 1 um, 2 um, and 10 um. Shield/heat sink layer 488 may include isolation openings 486, and alignment mark openings 487, which may be utilized for short wavelength alignment of top layer (donor) processing to the acceptor wafer alignment marks 490. Shield/heat sink layer 488 may include shield path connect 485 and shield path via 483. Shield path via 483 may thermally and/or electrically couple and connect shield path connect 485 to acceptor wafer 410 interconnect metallization layers such as, for example, metal connect pads or strips 480 (shown). If two shield/heat sink layers 488 are utilized, one on top of the other and separated by an isolation layer common in semiconductor BEOL, such as carbon doped silicon oxide, shield path connect 485 may also thermally and/or electrically couple and connect each shield/heat sink layer 488 to the other and to acceptor wafer 410 interconnect metallization layers such as, for example, metal connect pads or strips 480, thereby creating a heat conduction path from the shield/heat sink layer 488 to the acceptor wafer substrate, and a heat sink (shown in FIG. 4F). The topmost shield/heat sink layer may include a higher melting point material, for example a refractory metal such as Tungsten, and the lower heat shield layer may include a lower melting point material such as copper.

As illustrated in FIG. 4B, two exemplary top views of shield/heat sink layer 488 are shown. In shield/heat sink portion 420 a shield area 422 of the shield/heat sink layer 488 materials described above and in the incorporated references may include TL V/TSV connects 424 and isolation openings 486. Isolation openings 486 may be the absence of the material of shield area 422. TLV/TSV connects 424 are an example of a shield path connect 485. TLV/TSV connects 424 and isolation openings 486 may be drawn in the database of the 3D-IC stack and may formed during the acceptor wafer 410 processing. In shield/heat sink portion 430 a shield area 432 of the shield/heat sink layer 488 materials described above and in the incorporated references may have metal interconnect strips 434 and isolation openings 486. Metal interconnect strips 434 may be surrounded by regions, such as isolation openings 486, where the material of shield area 432 may be etched away, thereby stopping electrical conduction from metal interconnect strips 434 to shield area 432 and to other metal interconnect strips. Metal interconnect strips 434 may be utilized to connect/couple the transistors formed in the donor wafer layers, such as 402, to themselves from the ‘backside’ or ‘underside’ and/or to transistors in the acceptor wafer level/layer. Metal interconnect strips 434 and shield/heat sink layer 488 regions such as shield area 422 and shield area 432 may be utilized as a ground plane for the transistors above it residing in the donor wafer layer or layers and/or may be utilized as power supply or back-bias, such as Vdd or Vsb, for the transistors above it residing in the transferred donor wafer layer or layers. The strips and/or regions of shield/heat sink layer 488 may be controlled by second layer transistors when supplying power or other signals such as data or control. For example, as illustrated in FIG. 4G, the topmost shield/heat sink layer 488 may include a topmost shield/heat sink portion 470, which may be configured as fingers or stripes of conductive material, such as top strips 474 and strip isolation spaces 476, which may be utilized, for example, to provide back-bias, power, or ground to the second layer transistors above it residing in the donor wafer layer or layers (for example donor wafer device structures 450). A second shield/heat sink layer 488, below the topmost shield/heat sink layer, may include a second shield/heat sink portion 472, which may be configured as fingers or stripes of conductive material, such as second strips 478 and strip isolation spaces 476, may be oriented in a different direction (although not necessarily so) than the topmost strips, and may be utilized, for example, to provide back-bias, power, or ground to the second layer transistors above it residing in the donor wafer layer or layers (for example donor wafer device structures 450). Openings, such as opening 479, in the topmost shield/heat sink layer may be designed to allow connection from the second layer of transistors to the second shield/heat sink layer, such as from donor wafer device structures 450 to second strips 478. The strips or fingers may be illustrated as orthogonally oriented layer to layer, but may also take other drawn shapes and forms; for example, such as diagonal running shapes as in the X-architecture, overlapping parallel strips, and so on. The portions of the shield/heat sink layer 488 or layers may include a combination of the strip/finger shapes of FIG. 4G and the illustrated via connects and fill-in regions of FIG. 4B.

Bonding surfaces, donor bonding surface 401 and acceptor bonding surface 411, may be prepared for wafer bonding by depositions (such as silicon oxide), polishes, plasma, or wet chemistry treatments to facilitate successful wafer to wafer bonding. The insulation layer, such as deposited bonding oxides and/or before bonding preparation existing oxides, between the donor wafer transferred layer and the acceptor wafer topmost metal layer, may include thicknesses of less than 1 um, less than 500 nm, less than 400 nm, less than 300 nm, less than 200 nm, or less than 100 nm.

As illustrated in FIG. 4C, the donor wafer 400 with wafer sized layers 402 and layer transfer demarcation plane 499 may be flipped over, aligned, and bonded to the acceptor wafer 410. The donor wafer 400 with wafer sized layers 402 may have alignment marks (not shown). Various topside defect anneals may be utilized. For this illustration, an optical beam such as the laser annealing previously described is used. Optical anneal beams may be optimized to focus light absorption and heat generation at or near the layer transfer demarcation plane (shown as dashed line) 499 to provide a hydrogen bubble cleave with exemplary cleave ray 451. The laser assisted hydrogen bubble cleave with the absorbed heat generated by exemplary cleave ray 451 may also include a pre-heat of the bonded stack to, for example, about 100° C. to about 400° C., and/or a thermal rapid spike to temperatures above about 200° C. to about 600° C. The laser assisted ion-cut cleave may provide a smoother cleave surface upon which better quality transistors may be manufactured. Reflected ray 453 may be reflected and/or absorbed by shield/heat sink layer 488 regions thus blocking the optical absorption of ray blocked metal interconnect 481 and potentially enhancing the efficiency of optical energy absorption of the wafer sized layers 402. Additionally, shield/heat sink layer 488 may laterally spread and conduct the heat generated by the topside defect anneal, and in conjunction with the dielectric materials (low heat conductivity) above and below shield/heat sink layer 488, keep the interconnect metals and low-k dielectrics of the acceptor wafer interconnect layers cooler than a damage temperature, such as, for example, 400° C. Annealing of dopants or annealing of damage, such as from the H cleave implant damage, may be accomplished by optical annealing rays, such as repair ray 455. A small portion of the optical energy, such as unblocked ray 457, may hit and heat, or be reflected, by (a few rays as the area of the heat shield openings, such as 424, is small compared to the die or device area) such as metal connect pads or strips 480. Heat generated by absorbed photons from, for example, cleave ray 451, reflected ray 453, and/or repair ray 455 may also be absorbed by shield/heat sink layer 488 regions and dissipated laterally and may keep the temperature of underlying metal layers, such as ray blocked metal interconnect 481, and other metal layers below it, cooler and prevent damage. Shield/heat sink layer 488 may act as a heat spreader. A second layer of shield/heat sink layer 488 (not shown) may have been constructed (during the acceptor wafer 410 formation) with a low heat conductive material sandwiched between the two heat sink layers, such as silicon oxide or carbon doped ‘low-k’ silicon oxides, for improved thermal protection of the acceptor wafer interconnect layers, metal and dielectrics. Electrically conductive materials may be used for the two layers of shield/heat sink layer 488 and thus may provide, for example, a Vss and a Vdd plane for power delivery that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below. Shield/heat sink layer 488 may include materials with a high thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), Tungsten (about 173 W/m-K), Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). Shield/heat sink layer 488 may be sandwiched and/or substantially enclosed by materials with a low thermal conductivity less than 10 W/m-K, for example, silicon dioxide (about 1.4 W/m-K). The sandwiching of high and low thermal conductivity materials in layers, such as shield/heat sink layer 488 and under & overlying dielectric layers, spreads the localized heat/light energy of the topside anneal laterally and protect the underlying layers of interconnect metallization & dielectrics, such as in the acceptor wafer, from harmful temperatures or damage. Further, absorber layers or regions, for example, including amorphous carbon, amorphous silicon, and phase changing materials (see U.S. Pat. Nos. 6,635,588 and 6,479,821 to Hawryluk et al. for example), may be utilized to increase the efficiency of the optical energy capture in conversion to heat for the desired annealing or activation processes. For example, pre-processed layers 402 may include a layer or region of optical absorbers such as transferred absorber region 475, acceptor wafer 410 may include a layer or region of optical absorbers such as acceptor absorber region 473, and second device layer 405 may include a layer or region of optical absorbers such as post transfer absorber regions 477 (shown in FIG. 4E). Transferred absorber region 475, acceptor absorber region 473, and/or post transfer absorber regions 477 may be permanent (could be found within the device when manufacturing is complete) or temporary so is removed during the manufacturing process.

As illustrated in FIG. 4D, the donor wafer 400 may be cleaved at or thinned to (or past, not shown) the layer transfer demarcation plane 499, leaving donor wafer portion 403 and the pre-processed layers 402 bonded to the acceptor wafer 410, by methods such as, for example, ion-cut or other layer transfer methods. The layer transfer demarcation plane 499 may instead be placed in the pre-processed layers 402. Optical anneal beams, in conjunction with reflecting layers and regions and absorbing enhancement layers and regions, may be optimized to focus light absorption and heat generation within or at the surface of donor wafer portion 403 and provide surface smoothing and/or defect annealing (defects may be from the cleave and/or the ion-cut implantation), and/or post ion-implant dopant activation with exemplary smoothing/annealing ray 466. The laser assisted smoothing/annealing with the absorbed heat generated by exemplary smoothing/annealing ray 466 may also include a pre-heat of the bonded stack to, for example, about 100° C. to about 400° C., and/or a thermal rapid spike to temperatures above about 200° C. to about 600° C. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process. Reflected ray 463 may be reflected and/or absorbed by shield/heat sink layer 488 regions thus blocking the optical absorption of ray blocked metal interconnect 481. Annealing of dopants or annealing of damage, such as from the H cleave implant damage, may be also accomplished by a set of rays such as repair ray 465. A small portion of the optical energy, such as unblocked ray 467, may hit and heat, or be reflected, by a few rays (as the area of the heat shield openings, such as 424, is small) such as metal connect pads or strips 480. Heat generated by absorbed photons from, for example, smoothing/annealing ray 466, reflected ray 463, and/or repair ray 465 may also be absorbed by shield/heat sink layer 488 regions and dissipated laterally and may keep the temperature of underlying metal layers, such as ray blocked metal interconnect 481, and other metal layers below it, cooler and prevent damage. A second layer of shield/heat sink layer 488 may be constructed with a low heat conductive material sandwiched between the two heat sink layers, such as silicon oxide or carbon doped ‘low-k’ silicon oxides, for improved thermal protection of the acceptor wafer interconnect layers, metal and dielectrics. Shield/heat sink layer 488 may act as a heat spreader. When there may be more than one shield/heat sink layer 488 in the device, the heat conducting layer closest to the second crystalline layer may be constructed with a different material, for example a high melting point material, for example a refractory metal such as tungsten, than the other heat conducting layer or layers, which may be constructed with, for example, a lower melting point material such as aluminum or copper. Electrically conductive materials may be used for the two layers of shield/heat sink layer 488 and thus may provide, for example, a Vss and a Vdd plane that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below. Furthermore, some or all of the layers utilized as shield/heat sink layer 488, which may include shapes of material such as the strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits, to provide a programmable back-bias to at least a portion of the second layer transistors. The programmable back bias may utilize a circuit to do so, for example, such as shown in FIG. 17B of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the back-bias is being provided, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal lines connections 1723 and 1724 may include portions of the shield/heat sink layer 488 layer or layers. Moreover, some or all of the layers utilized as shield/heat sink layer 488, which may include strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits to provide a programmable power supply to at least a portion of the second layer transistors. The programmable power supply may utilize a circuit to do so, for example, such as shown in FIG. 17C of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the programmable power supplies are being provided to, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal line connections from Vout to the various second layer transistors may include portions of the shield/heat sink layer 488 layer or layers. The Vsupply on line 17C12 and the control signals on control line 17C16 may be controlled by and/or generated in the second layer transistors (donor, for example donor wafer device structures 450) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 493), or off chip circuits. Furthermore, some or all of the layers utilized as shield/heat sink layer 488, which may include strips or fingers as illustrated in FIG. 4G or other shapes such as those in FIG. 4B, may be utilized to distribute independent power supplies to various portions of the second layer transistors (donor, for example donor wafer device structures 450) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 493) and circuits; for example, one power supply and/or voltage may be routed to the sequential logic circuits of the second layer and a different power supply and/or voltage routed to the combinatorial logic circuits of the second layer. Patterning of shield/heat sink layer 488 or layers can impact their heat-shielding capacity. This impact may be mitigated, for example, by enhancing the top shield/heat sink layer 488 areal density, creating more of the secondary shield/heat sink layers 488, or attending to special CAD rules regarding their metal density, similar to CAD rules that are required to accommodate Chemical-Mechanical Planarization (CMP). These constraints would be integrated into a design and layout EDA tool.

As illustrated in FIG. 4E, the remaining donor wafer portion 403 may be removed by polishing or etching and the transferred layers 402 may be further processed to create second device layer 405 which may include donor wafer device structures 450 and metal interconnect layers (such as second device layer metal interconnect 461) that may be precisely aligned to the acceptor wafer alignment marks 490. Donor wafer device structures 450 may include, for example, CMOS transistors such as N type and P type transistors, or at least any of the other transistor or device types discussed herein this document or referenced patent documents. The details of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-4, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610. As discussed above and herein this document and referenced patent documents, annealing of dopants or annealing of damage, such as from the dopant application such as ion-implantation, or from etch processes during the formation of the transferred layer transistor and device structures, may be accomplished by optical annealing. Donor wafer device structures 450 may include transistors and/or semiconductor regions wherein the dopant concentration of the regions in the horizontal plane, such as shown as exemplary dopant plane 449, may have regions that differ substantially in dopant concentration, for example, 10× greater, and/or may have a different dopant type, such as, for example p-type or n-type dopant. Additionally, the annealing of deposited dielectrics and etch damage, for example, oxide depositions and silicon etches utilized in the transferred layer isolation processing, for example, STI (Shallow Trench Isolation) processing or strained source and drain processing, may be accomplished by optical annealing. Second device layer metal interconnect 461 may include electrically conductive materials such as copper, aluminum, conductive forms of carbon, and tungsten. Donor wafer device structures 450 may utilize second device layer metal interconnect 461 and thru layer vias (TL Vs) 460 to electrically couple (connection paths) the donor wafer device structures 450 to the acceptor wafer metal connect pads or strips 480, and thus couple donor wafer device structures (the second layer transistors) with acceptor wafer device structures (first layer transistors). Thermal TL Vs 462 may be constructed of thermally conductive but not electrically conductive materials, for example, DLC (Diamond Like Carbon), and may connect donor wafer device structures 450 thermally to shield/heat sink layer 488. TL Vs 460 may be constructed out of electrically and thermally conductive materials, such as Tungsten, Copper, or aluminum, and may provide a thermal and electrical connection path from donor wafer device structures 450 to shield/heat sink layer 488, which may be a ground or Vdd plane in the design/layout. TL Vs 460 and thermal TL Vs 462 may be also constructed in the device scribelanes (pre-designed in base layers or potential dicelines) to provide thermal conduction to the heat sink, and may be sawed/diced off when the wafer is diced for packaging. Shield/heat sink layer 488 may be configured to act as an emf (electro-motive force) shield to prevent direct layer to layer cross-talk between transistors in the donor wafer layer and transistors in the acceptor wafer. In addition to static ground or Vdd biasing, shield/heat sink layer 488 may be actively biased with an anti-interference signal from circuitry residing on, for example, a layer of the 3D-IC or off chip. TL Vs 460 may be formed through the transferred layers 402. As the transferred layers 402 may be thin, on the order of about 200 nm or less in thickness, the TL Vs may be easily manufactured as a typical metal to metal via may be, and said TL V may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 150 nm or about 100 nm or about 50 nm. The thinner the transferred layers 402, the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios. Thus, the transferred layers 402 (and hence, TL Vs 460) may be, for example, less than about 2 microns thick, less than about 1 micron thick, less than about 0.4 microns thick, less than about 200 nm thick, less than about 150 nm thick, less than about 100 nm thick, less than about 50 nm thick, less than about 20 nm thick, or less than about 5 nm thick. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution (and enable the use of conventional state of the art lithographic tools), such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers. The above TL V dimensions and alignment capability and transferred layer thicknesses may be also applied to any of the discussed TLVs or transferred layers described elsewhere herein. Transferred layers 402 may be considered to be overlying the metal layer or layers of acceptor wafer 410. Alignment marks in acceptor wafer 410 and/or in transferred layers 402 may be utilized to enable reliable contact to transistors and circuitry in transferred layers 402 and donor wafer device structures 450 and electrically couple them to the transistors and circuitry in the acceptor wafer 410. The donor wafer 400 may now also be processed, such as smoothing and annealing, and reused for additional layer transfers. The transferred layers 402 and other additional regions created in the transferred layers during transistor processing are thin and small, having small volumes on the order of 2×1016 cm3 (2×105 nm3 for a 100 nm by 100 nm×20 nm thick device). As a result, the amount of energy to manufacture with known in the art transistor and device formation processing, for example, annealing of ion-cut created defects or activation of dopants and annealing of doping or etching damages, is very small and may lead to only a small amount of shield layer or layers or regions or none to effectively shield the underlying interconnect metallization and dielectrics from the manufacturing processing generated heat. The energy may be supplied by, for example, pulsed and short wavelength optical annealing techniques described herein and incorporated references, and may include the use of optical absorbers and reflectors and optical/thermal shielding and heat spreaders, some of which are described herein and incorporated references.

As illustrated in FIG. 4F, a thermal conduction path may be constructed from the devices in the upper layer, the transferred donor layer and formed transistors, to the acceptor wafer substrate and associated heat sink. The thermal conduction path from the donor wafer device structures 450 to the acceptor wafer heat sink 497 may include second device layer metal interconnect 461, TL Vs 460, shield path connect 485, shield path via 483, metal connect pads or strips 480, first (acceptor) layer metal interconnect 491, acceptor wafer transistors and devices 493, and acceptor substrate 495. The elements of the thermal conduction path may include materials that have a thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), and Tungsten (about 173 W/m-K), and may include material with thermal conductivity lower than 10 W/m-K but have a high heat transfer capacity due to the wide area available for heat transfer and thickness of the structure (Fourier's Law), such as, for example, acceptor substrate 495. The elements of the thermal conduction path may include materials that are thermally conductive but may not be substantially electrically conductive, for example, Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). The acceptor wafer interconnects may be substantially surrounded by BEOL dielectric 496. In general, within the active device or devices (that are generating the heat that is desired to be conducted away thru at least the thermal conduction path), it would be advantageous to have an effective conduction path to reduce the overall space and area that a designer would allocate for heat transfer out of the active circuitry space and area. A designer may select to use only materials with a high thermal conductivity (such as greater than 10 W/m-K), much higher for example than that for monocrystalline silicon, for the desired thermal conduction path. However, there may need to be lower than desired thermal conductivity materials in the heat conduction path due to requirements such as, for example, the mechanical strength of a thick silicon substrate, or another heat spreader material in the stack. The area and volume allocated to that structure, such as the silicon substrate, is far larger than the active circuit area and volume. Accordingly, since a copper wire of 1 um2 profile is about the same as a 286 um2 profile of a column of silicon, and the thermal conduction path may include both a copper wire/TLV/via and the bulk silicon substrate, a proper design may take into account and strive to align the different elements of the conductive path to achieve effective heat transfer and removal, for example, may attempt to provide about 286 times the silicon substrate area for each Cu thermal via utilized in the thermal conduction path. The heat removal apparatus, which may include acceptor wafer heat sink 497, may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.

Formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied to at least the FIG. 4 formation techniques.

A planar fully depleted n-channel MOSFET (FD-MOSFET) with an optional integrated heat shield/spreader suitable for a monolithic 3D IC may be constructed as follows. The FD-MOSFET may provide an improved transistor variability control and conduction channel electrostatic control, as well as the ability to utilize an updoped channel, thereby improving carrier mobility. In addition, the FD-MOSFET does not demand doping or pocket implants in the channel to control the electrostatic characteristics and tune the threshold voltages. Sub-threshold slope, DIBL, and other short channel effects are greatly improved due to the firm gate electrostatic control over the channel. Moreover, a heat spreading, heat conducting and/or optically reflecting material layer or layers may be incorporated between the sensitive metal interconnect layers and the layer or regions being optically irradiated and annealed to repair defects in the crystalline 3D-IC layers and regions and to activate semiconductor dopants in the crystalline layers or regions of a 3D-IC without harm to the sensitive metal interconnect and associated dielectrics. FIG. 5A-5H illustrates an exemplary n-channel FD-MOSFET which may be constructed in a 3D stacked layer using procedures outlined below and in U.S. Pat. Nos. 8,273,610 and 8,557,632 and 8,581,349. The contents of the foregoing applications are incorporated herein by reference.

As illustrated in FIG. 5A, a P− substrate donor wafer 500 may be processed to include a wafer sized layer of doping across the wafer. The channel layer 502 may be formed by ion implantation and thermal anneal. P− substrate donor wafer 500 may include a crystalline material, for example, mono-crystalline (single crystal) silicon. P− substrate donor wafer 500 may be very lightly doped (less than 1e15 atoms/cm3) or nominally un-doped (less than 1e14 atoms/cm3). Channel layer 502 may have additional ion implantation and anneal processing to provide a different dopant level than P− substrate donor wafer 500 and may have graded or various layers of doping concentration. The layer stack may alternatively be formed by epitaxially deposited doped or undoped silicon layers, or by a combination of epitaxy and implantation, or by layer transfer. Annealing of implants and doping may include, for example, conductive/inductive thermal, optical annealing techniques or types of Rapid Thermal Anneal (RTA or spike). The preferred crystalline channel layer 502 will be undoped to eventually create an FD-MOSFET transistor with an updoped conduction channel.

As illustrated in FIG. 5B, the top surface of the P− substrate donor wafer 500 layer stack may be prepared for oxide wafer bonding with a deposition of an oxide or by thermal oxidation of channel layer 502 to form oxide layer 580. A layer transfer demarcation plane (shown as dashed line) 599 may be formed by hydrogen implantation or other methods as described in the incorporated references. The P− substrate donor wafer 500, such as surface 582, and acceptor wafer 510 may be prepared for wafer bonding as previously described and low temperature (less than approximately 400° C.) bonded. Acceptor wafer 510, as described in the incorporated references, may include, for example, transistors, circuitry, and metal, such as, for example, aluminum or copper, interconnect wiring, a metal shield/heat sink layer or layers, and thru layer via metal interconnect strips or pads. Acceptor wafer 510 may be substantially comprised of a crystalline material, for example mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate. Acceptor wafer 510 may include transistors such as, for example, MOSFETS, FD-MOSFETS, FinFets, FD-RCATs, BJTs, HEMTs, and/or HBTs. The portion of the channel layer 502 and the P− substrate donor wafer 500 that may be above (when the layer stack is flipped over and bonded to the acceptor wafer 510) the layer transfer demarcation plane 599 may be removed by cleaving or other low temperature processes as described in the incorporated references, such as, for example, ion-cut with mechanical or thermal cleave or other layer transfer methods, thus forming remaining channel layer 503. Damage/defects to crystalline structure of channel layer 502 may be annealed by some of the annealing methods described, for example the short wavelength pulsed laser techniques, wherein the channel layer 502 or portions of channel layer 502 may be heated to defect annealing temperatures, but the layer transfer demarcation plane 599 may be kept below the temperate for cleaving and/or significant hydrogen diffusion. The optical energy may be deposited in the upper layer of the stack, for example near surface 582, and annealing of a portion of channel layer 502 may take place via heat diffusion.

As illustrated in FIG. 5C, oxide layer 580 and remaining channel layer 503 have been layer transferred to acceptor wafer 510. The top surface of remaining channel layer 503 may be chemically or mechanically polished, and/or may be thinned by low temperature oxidation and strip processes, such as the TEL SPA tool radical oxidation and HF:H2O solutions as described herein and in referenced patents and patent applications. Thru the processing, the wafer sized layer remaining channel layer 503 could be thinned from its original total thickness, and its final total thickness could be in the range of about 5 nm to about 20 nm, for example, 5 nm, 7 nm, 10 nm, 12 nm, 15 nm, or 20 nm. Remaining channel layer 503 may have a thickness and doping that may allow fully-depleted channel operation when the FD-MOSFET transistor is substantially completely formed. Acceptor wafer 510 may include one or more (two are shown in this example) shield/heat sink layers 588, which may include materials such as, for example, Aluminum, Tungsten (a refractory metal), Copper, silicon or cobalt based silicides, or forms of carbon such as carbon nanotubes. Each shield/heat sink layer 588 may have a thickness range of about 50 nm to about 1 mm, for example, 50 nm, 100 nm, 200 nm, 300 nm, 500 nm, 0.1 um, 1 um, 2 um, and 10 um. Shield/heat sink layer 588 may include isolation openings 587, and alignment mark openings (not shown), which may be utilized for short wavelength alignment of top layer (donor) processing to the acceptor wafer alignment marks (not shown). Shield/heat sink layer 588 may include one or more shield path connects 585 and shield path vias 583. Shield path via 583 may thermally and/or electrically couple and connect shield path connect 585 to acceptor wafer 510 interconnect metallization layers such as, for example, exemplary acceptor metal interconnect 581 (shown). Shield path connect 585 may also thermally and/or electrically couple and connect each shield/heat sink layer 588 to the other and to acceptor wafer 510 interconnect metallization layers such as, for example, acceptor metal interconnect 581, thereby creating a heat conduction path from the shield/heat sink layer 588 to the acceptor substrate 595, and a heat sink (shown in FIG. 5G). Isolation openings 587 may include dielectric materials, similar to those of BEOL isolation 596. Acceptor wafer 510 may include first (acceptor) layer metal interconnect 591, acceptor wafer transistors and devices 593, and acceptor substrate 595. Various topside defect anneals may be utilized. For this illustration, an optical beam such as the laser annealing previously described is used. Optical anneal beams may be optimized to focus light absorption and heat generation within or at the surface of remaining channel layer 503 and provide surface smoothing and/or defect annealing (defects may be from the cleave and/or the ion-cut implantation) with exemplary smoothing/annealing ray 566. The laser assisted smoothing/annealing with the absorbed heat generated by exemplary smoothing/annealing ray 566 may also include a pre-heat of the bonded stack to, for example, about 100° C. to about 400° C., and/or a rapid thermal spike to temperatures above about 200° C. to about 600° C. Additionally, absorber layers or regions, for example, including amorphous carbon, amorphous silicon, and phase changing materials (see U.S. Pat. Nos. 6,635,588 and 6,479,821 to Hawryluk et al. for example), may be utilized to increase the efficiency of the optical energy capture in conversion to heat for the desired annealing or activation processes. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process. Reflected ray 563 may be reflected and/or absorbed by shield/heat sink layer 588 regions thus blocking the optical absorption of ray blocked metal interconnect 581. Annealing of dopants or annealing of damage, such as from the H cleave implant damage, may be also accomplished by a set of rays such as repair ray 565. Heat generated by absorbed photons from, for example, smoothing/annealing ray 566, reflected ray 563, and/or repair ray 565 may also be absorbed by shield/heat sink layer 588 regions and dissipated laterally and may keep the temperature of underlying metal layers, such as metal interconnect 581, and other metal layers below it, cooler and prevent damage. Shield/heat sink layer 588 and associated dielectrics may laterally spread and conduct the heat generated by the topside defect anneal, and in conjunction with the dielectric materials (low heat conductivity) above and below shield/heat sink layer 588, keep the interconnect metals and low-k dielectrics of the acceptor wafer interconnect layers cooler than a damage temperature, such as, for example, 400° C. A second layer of shield/heat sink layer 588 may be constructed (shown) with a low heat conductive material sandwiched between the two heat sink layers, such as silicon oxide or carbon doped ‘low-k’ silicon oxides, for improved thermal protection of the acceptor wafer interconnect layers, metal and dielectrics. Shield/heat sink layer 588 may act as a heat spreader. Electrically conductive materials may be used for the two layers of shield/heat sink layer 588 and thus may provide, for example, a Vss and a Vdd plane that may be connected to the donor layer transistors above, as well may be connected to the acceptor wafer transistors below, and/or may provide below transferred layer device interconnection. Shield/heat sink layer 588 may include materials with a high thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), Tungsten (about 173 W/m-K), Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). Shield/heat sink layer 588 may be sandwiched and/or substantially enclosed by materials with a low thermal conductivity (less than 10 W/m-K), for example, silicon dioxide (about 1.4 W/m-K). The sandwiching of high and low thermal conductivity materials in layers, such as shield/heat sink layer 588 and under & overlying dielectric layers, spreads the localized heat/light energy of the topside anneal laterally and protects the underlying layers of interconnect metallization & dielectrics, such as in the acceptor wafer 510, from harmful temperatures or damage. When there may be more than one shield/heat sink layer 588 in the device, the heat conducting layer closest to the second crystalline layer or oxide layer 580 may be constructed with a different material, for example a high melting point material, for example a refractory metal such as tungsten, than the other heat conducting layer or layers, which may be constructed with, for example, a lower melting point material, for example, such as aluminum or copper. Now transistors may be formed with low effective temperature (less than approximately 400° C. exposure to the acceptor wafer 510 sensitive layers, such as interconnect and device layers) processing, and may be aligned to the acceptor wafer alignment marks (not shown) as described in the incorporated references. This may include further optical defect annealing or dopant activation steps. The donor wafer 500 may now also be processed, such as smoothing and annealing, and reused for additional layer transfers. The insulator layer, such as deposited bonding oxides (for example oxide layer 580) and/or before bonding preparation existing oxides (for example the BEOL isolation 596 on top of the topmost metal layer of shield/heat sink layer 588), between the donor wafer transferred monocrystalline layer and the acceptor wafer topmost metal layer, may include thicknesses of less than 1 um, less than 500 nm, less than 400 nm, less than 300 nm, less than 200 nm, or less than 100 nm.

As illustrated in FIG. 5D, transistor isolation regions 505 may be formed by mask defining and plasma/RIE etching remaining channel layer 503 substantially to the top of oxide layer 580 (not shown), substantially into oxide layer 580, or into a portion of the upper oxide layer of acceptor wafer 510 (not shown). Thus channel region 523 may be formed, which may substantially form the transistor body. A low-temperature gap fill dielectric, such as SACVD oxide, may be deposited and chemically mechanically polished, the oxide remaining in isolation regions 505. An optical step, such as illustrated by exemplary STI ray 567, may be performed to anneal etch damage and densify the STI oxide in isolation regions 505. The doping concentration of the channel region 523 may include gradients of concentration or layers of differing doping concentrations. Any additional doping, such as ion-implanted channel implants, may be activated and annealed with optical annealing, such as illustrated by exemplary implant ray 569, as described herein. The optical anneal, such as exemplary STI ray 567, and/or exemplary implant ray 569 may be performed at separate times and processing parameters (such as laser energy, frequency, etc.) or may be done in combination or as one optical anneal. Optical absorber and or reflective layers or regions may be employed to enhance the anneal and/or protect the underlying sensitive structures. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process.

As illustrated in FIG. 5E, a transistor forming process, such as a conventional HKMG with raised source and drains (S/D), may be performed. For example, a dummy gate stack (not shown), utilizing oxide and polysilicon, may be formed, gate spacers 530 may be formed, raised S/D regions 532 and channel stressors may be formed by etch and epitaxial deposition, for example, of SiGe and/or SiC depending on P or N channel, LDD and S/D ion-implantations may be performed, and first ILD 536 may be deposited and CMP'd to expose the tops of the dummy gates. Thus transistor channel 533 and S/D & LDD regions 535 may be formed. The dummy gate stack may be removed and a gate dielectric 507 may be formed and a gate metal material gate electrode 508, including a layer of proper work function metal (TixAly,Nz for example) and a conductive fill, such as aluminum, and may be deposited and CMP'd. The gate dielectric 507 may be an atomic layer deposited (ALD) gate dielectric that may be paired with a work function specific gate metal in the industry standard high k metal gate process schemes, for example, as described in the incorporated references. Alternatively, the gate dielectric 507 may be formed with a low temperature processes including, for example, LPCVD SiO2 oxide deposition (see Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, no. 4, pp. 186-188, April 1992) or low temperature microwave plasma oxidation of the silicon surfaces (see Kim, J. Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70 nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 4-5, 25-27 Apr. 2005) and a gate material with proper work function and less than approximately 400° C. deposition temperature such as, for example, tungsten or aluminum may be deposited. An optical step, such as represented by exemplary anneal ray 521, may be performed to densify and/or remove defects from gate dielectric 507, anneal defects and activate dopants such as LDD and S/D implants, denisfy the first ILD 536, and/or form contact and S/D silicides (not shown). The optical anneal may be performed at each sub-step as desired, or may be done at prior to the HKMG deposition, or various combinations. Moreover, multiple pulses of the laser may be utilized to improve the anneal, activation, and yield of the process.

As illustrated in FIG. 5F, a low temperature thick oxide 509 may be deposited and planarized. Source, gate, and drain contacts openings may be masked and etched preparing the transistors to be connected via metallization. Thus gate contact 511 connects to gate electrode 508, and source & drain contacts 540 connect to raised S/D regions 532. An optical step, such as illustrated by exemplary ILD anneal ray 551, may be performed to anneal contact etch damage and densify the thick oxide 509.

As illustrated in FIG. 5G, thru layer vias (TL Vs) 560 may be formed by etching thick oxide 509, first ILD 536, isolation regions 505, oxide layer 580, into a portion of the upper oxide layer BEOL isolation 596 of acceptor wafer 510 BEOL, and filling with an electrically and thermally conducting material (such as tungsten or cooper) or an electrically non-conducting but thermally conducting material (such as described elsewhere within). Second device layer metal interconnect 561 may be formed by conventional processing. TLVs 560 may be constructed of thermally conductive but not electrically conductive materials, for example, DLC (Diamond Like Carbon), and may connect the FD-MOSFET transistor device and other devices on the top (second) crystalline layer thermally to shield/heat sink layer 588. TL Vs 560 may be constructed out of electrically and thermally conductive materials, such as Tungsten, Copper, or aluminum, and may provide a thermal and electrical connection path from the FD-MOSFET transistor device and other devices on the top (second) crystalline layer to shield/heat sink layer 588, which may be a ground or Vdd plane in the design/layout. TL Vs 560 may be also constructed in the device scribelanes (pre-designed in base layers or potential dicelines) to provide thermal conduction to the heat sink, and may be sawed/diced off when the wafer is diced for packaging not shown). Shield/heat sink layer 588 may be configured to act (or adapted to act) as an emf (electro-motive force) shield to prevent direct layer to layer cross-talk between transistors in the donor wafer layer and transistors in the acceptor wafer. In addition to static ground or Vdd biasing, shield/heat sink layer 588 may be actively biased with an anti-interference signal from circuitry residing on, for example, a layer of the 3D-IC or off chip. The formed FD-MOSFET transistor device may include semiconductor regions wherein the dopant concentration of neighboring regions of the transistor in the horizontal plane, such as traversed by exemplary dopant plane 534, may have regions, for example, transistor channel 533 and S/D & LDD regions 535, that differ substantially in dopant concentration, for example, a 10 times greater doping concentration in S/D & LDD regions 535 than in transistor channel 533, and/or may have a different dopant type, such as, for example p-type or n-type dopant, and/or may be doped and substantially undoped in the neighboring regions.

For example, transistor channel 533 may be very lightly doped (less than 1e15 atoms/cm3) or nominally un-doped (less than 1e14 atoms/cm3) and S/D & LDD regions 535 may be doped at greater than 1e15 atoms/cm3 or greater than 1e16 atoms/cm3. For example, transistor channel 533 may be doped with p-type dopant and S/D & LDD regions 535 may be doped with n-type dopant.

A thermal conduction path may be constructed from the devices in the upper layer, the transferred donor layer and formed transistors, to the acceptor wafer substrate and associated heat sink. The thermal conduction path from the FD-MOSFET transistor device and other devices on the top (second) crystalline layer, for example, raised S/D regions 532, to the acceptor wafer heat sink 597 may include source & drain contacts 540, second device layer metal interconnect 561, TL V 560, shield path connect 585 (shown as twice), shield path via 583 (shown as twice), metal interconnect 581, first (acceptor) layer metal interconnect 591, acceptor wafer transistors and devices 593, and acceptor substrate 595. The elements of the thermal conduction path may include materials that have a thermal conductivity greater than 10 W/m-K, for example, copper (about 400 W/m-K), aluminum (about 237 W/m-K), and Tungsten (about 173 W/m-K), and may include material with thermal conductivity lower than 10 W/m-K but have a high heat transfer capacity due to the wide area available for heat transfer and thickness of the structure (Fourier's Law), such as, for example, acceptor substrate 595. The elements of the thermal conduction path may include materials that are thermally conductive but may not be substantially electrically conductive, for example, Plasma Enhanced Chemical Vapor Deposited Diamond Like Carbon-PECVD DLC (about 1000 W/m-K), and Chemical Vapor Deposited (CVD) graphene (about 5000 W/m-K). The acceptor wafer interconnects may be substantially surrounded by BEOL isolation 596 dielectric. The heat removal apparatus, which may include acceptor wafer heat sink 597, may include an external surface from which heat transfer may take place by methods such as air cooling, liquid cooling, or attachment to another heat sink or heat spreader structure.

Furthermore, some or all of the layers utilized as shield/heat sink layer 588, which may include shapes of material such as the strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits, to provide a programmable back-bias to at least a portion of the second layer transistors. The programmable back bias may utilize a circuit to do so, for example, such as shown in FIG. 17B of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the back-bias is being provided, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal lines connections 1723 and 1724 may include portions of the shield/heat sink layer 588 layer or layers. Moreover, some or all of the layers utilized as shield/heat sink layer 588, which may include strips or fingers as illustrated in FIG. 4G, may be driven by a portion of the second layer transistors and circuits (within the transferred donor wafer layer or layers) or the acceptor wafer transistors and circuits to provide a programmable power supply to at least a portion of the second layer transistors. The programmable power supply may utilize a circuit to do so, for example, such as shown in FIG. 17C of U.S. Pat. No. 8,273,610, the contents incorporated herein by reference; wherein the ‘Primary’ layer may be the second layer of transistors for which the programmable power supplies are being provided to, the ‘Foundation’ layer could be either the second layer transistors (donor) or first layer transistors (acceptor), and the routing metal line connections from Vout to the various second layer transistors may include portions of the shield/heat sink layer 588 layer or layers. The Vsupply on line 17C12 and the control signals on control line 17C16 may be controlled by and/or generated in the second layer transistors (for example donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 593), or off chip circuits. Furthermore, some or all of the layers utilized as shield/heat sink layer 588, which may include strips or fingers as illustrated in FIG. 4G or other shapes such as those in FIG. 4B, may be utilized to distribute independent power supplies to various portions of the second layer transistors (for example donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5) or first layer transistors (acceptor, for example acceptor wafer transistors and devices 593) and circuits; for example, one power supply and/or voltage may be routed to the sequential logic circuits of the second layer and a different power supply and/or voltage routed to the combinatorial logic circuits of the second layer. Patterning of shield/heat sink layer 588 or layers can impact their heat-shielding capacity. This impact may be mitigated, for example, by enhancing the top shield/heat sink layer 588 areal density, creating more of the secondary shield/heat sink layers 588, or attending to special CAD rules regarding their metal density, similar to CAD rules that are required to accommodate Chemical-Mechanical Planarization (CMP). These constraints would be integrated into a design and layout EDA tool.

TL Vs 560 may be formed through the transferred layers. As the transferred layers may be thin, on the order of about 200 nm or less in thickness, the TL Vs may be easily manufactured as a typical metal to metal via may be, and said TL V may have state of the art diameters such as nanometers or tens to a few hundreds of nanometers, such as, for example about 150 nm or about 100 nm or about 50 nm. The thinner the transferred layers, the smaller the thru layer via diameter obtainable, which may result from maintaining manufacturable via aspect ratios. The thickness of the layer or layers transferred according to some embodiments of the invention may be designed as such to match and enable the most suitable obtainable lithographic resolution (and enable the use of conventional state of the art lithographic tools), such as, for example, less than about 10 nm, 14 nm, 22 nm or 28 nm linewidth resolution and alignment capability, such as, for example, less than about 5 nm, 10 nm, 20 nm, or 40 nm alignment accuracy/precision/error, of the manufacturing process employed to create the thru layer vias or any other structures on the transferred layer or layers.

As illustrated in FIG. 5H, at least one conductive bond pad 564 for interfacing electrically (and may thermally) to external devices may be formed on top of the completed device and may include at least one metal layer of second device layer metal interconnect 561. Bond pad 564 may overlay second device layer metal interconnect 561 or a portion of (some of the metal and insulator layers of) second device layer metal interconnect 561. Bond pad 564 may be directly aligned to the acceptor wafer alignment marks (not shown) and the I/O driver circuitry may be formed by the second layer (donor) transistors, for example, donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5. Bond pad 564 may be connected to the second layer transistors thru the second device layer metal interconnect 561 which may include vias 562. The I/O driver circuitry may be formed by transistors from the acceptor wafer transistors and devices 593, or from transistors in other strata if the 3DIC device has more than two layers of transistors. I/O pad control metal segment 567 may be formed directly underneath bond pad 564 and may influence the noise and ESD (Electro Static Discharge) characteristics of bond pad 564. The emf influence of I/O pad control metal segment 567 may be controlled by circuitry formed from a portion of the second layer transistors. I/O pad control metal segment 567 may be formed with second device layer metal interconnect 561. Furthermore, metal segment 589 of the topmost shield/heat sink layer 588 may be used to influence the FD-MOSFET transistor or transistors above it by emf, and influence the noise and ESD (Electro Static Discharge) characteristics of bond pad 564. Metal segment 589 may be controlled by second layer (donor) transistors, for example, donor wafer device structures such as the FD-MOSFETs formed as described in relation to FIG. 5 and/or by transistors from the acceptor wafer transistors and devices 593, or from transistors in other strata if the 3DIC device has more than two layers of transistors.

Formation of CMOS in one transferred layer and the orthogonal connect strip methodology may be found as illustrated in at least FIGS. 30-33, 73-80, and 94 and related specification sections of U.S. Pat. No. 8,273,610, and may be applied to at least the FIG. 5 formation techniques herein.

Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 5A through 5H are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, a p-channel FD-MOSFET may be formed with changing the types of dopings appropriately. Moreover, the P− substrate donor wafer 500 may be n type or un-doped. Furthermore, isolation regions 505 may be formed by a hard mask defined process flow, wherein a hard mask stack, such as, for example, silicon oxide and silicon nitride layers, or silicon oxide and amorphous carbon layers, may be utilized. Moreover, CMOS FD MOSFET s may be constructed with n-MOSFETs in a first mono-crystalline silicon layer and p− MOSFET s in a second mono-crystalline layer, which may include different crystalline orientations of the mono-crystalline silicon layers, such as for example, <100>, <111> or <551>, and may include different contact silicides for optimum contact resistance to p or n type source, drains, and gates. Further, dopant segregation techniques (DST) may be utilized to efficiently modulate the source and drain Schottky barrier height for both p and n type junctions formed. Furthermore, raised source and drain contact structures, such as etch and epi SiGe and SiC, may be utilized for strain and contact resistance improvements and the damage from the processes may be optically annealed. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.

In many applications it is desired to use a combination of N type transistors and P type transistors. While using two overlaid layers, at least one layer of P type transistors on top of at least one layer of N type transistors, has been previously described herein and n referenced patent applications, it might be desired to have those transistors connected by the same overlaying interconnection layers coupling to one transistor layer. In U.S. Pat. No. 8,273,610, the contents of which are incorporated herein by reference, there are at least two flows to provide such. The flows could be adapted to vertical transistors just as well. The first flow suggests using repeating rows of N type and P type and is detailed in at least FIGS. 20-35 and FIGS. 73-79 of U.S. Pat. No. 8,273,610. An alternative flow suggests using layers within the strata in a vertical manner, and is described in at least FIG. 95 of U.S. Pat. No. 8,273,610.

While concepts in this document have been described with respect to 3D-ICs with two stacked device layers, those of ordinary skill in the art will appreciate that it can be valid for 3D-ICs with more than two stacked device layers. Additionally, some of the concepts may be applied to 2D ICs.

An additional embodiment of the invention is to utilize the underlying interconnection layer or layers to provide connections and connection paths (electrical and/or thermal) for the overlying transistors. While the common practice in the IC industry is that interconnection layers are overlaying the transistors that they connect, the 3D IC technology may include the possibility of constructing connections underneath (below) the transistors as well. For example, some of the connections to, from, and in-between transistors in a layer of transistors may be provided by the interconnection layer or layers above the transistor layer, and some of the connections to, from, and in-between the transistors may be provided by the interconnection layer or layers below the transistor layer or layers. In general there is an advantage to have the interconnect closer to the transistors that they are connecting and using both sides of the transistors—both above and below—provides enhanced “closeness” to the transistors. In addition, there may be less interconnect routing congestion that would impede the efficient or possible connection of a transistor to transistors in other layers and to other transistors in the same layer.

The connection layers may, for example, include power delivery, heat removal, macro-cell connectivity, and routing between macro-cells.

One method to solve the issue of high-temperature source-drain junction processing is to make transistors without junctions i.e. Junction-Less Transistors (JLTs). An embodiment of this invention uses JLTs as a building block for 3D stacked semiconductor circuits and chips.

FIG. 6 shows a schematic of a junction-less transistor (JLT) also referred to as a gated resistor or nano-wire. A heavily doped silicon layer (typically above 1×1019/cm3, but can be lower as well) forms source 0604, drain 0602 as well as channel region of a JLT. A gate electrode 0606 and a gate dielectric 0608 are present over the channel region of the JLT. The JLT has a very small channel area (typically less than 20 nm on one side), so the gate can deplete the channel of charge carriers at 0V and turn it off. I-V curves of n channel (0612) and p channel (0610) junction-less transistors are shown in FIG. 6 as well. These indicate that the JLT can show comparable performance to a tri-gate transistor that is commonly researched by transistor developers. Further details of the JLT can be found in “Junctionless multigate field-effect transistor,” Appl. Phys. Lett., vol. 94, pp. 053511 2009 by C.-W. Lee, A. Afzalian, N. Dehdashti Akhavan, R. Yan, I. Ferain and J. P. Colinge (“C-W. Lee”). Contents of this publication are incorporated herein by reference.

FIGS. 7A-M describe an alternative process flow to construct a horizontally-oriented monolithic 3D DRAM. This monolithic 3D DRAM utilizes the floating body effect and double-gate transistors. One mask is utilized on a “per-memory-layer” basis for the monolithic 3D DRAM concept shown in FIG. 7A-M, while other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.

Step (A): Peripheral circuits 702 with tungsten wiring are first constructed and above this oxide layer 704 is deposited. FIG. 7A illustrates the structure after Step (A).

Step (B): FIG. 7B shows a drawing illustration after Step (B). A p− Silicon wafer 706 has an oxide layer 708 grown or deposited above it. Following this, hydrogen is implanted into the p− Silicon wafer at a certain depth indicated by 710. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted p− Silicon wafer 706 forms the top layer 712. The bottom layer 714 may include the peripheral circuits 702 with oxide layer 704. The top layer 712 is flipped and bonded to the bottom layer 714 using oxide-to-oxide bonding.

Step (C): FIG. 7C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 710 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. At the end of this step, a single-crystal p− Si layer exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.

Step (D): FIG. 7D illustrates the structure after Step (D). Using lithography and then implantation, n+ regions 716 and p− regions 718 are formed on the transferred layer of p− Si after Step (C).

Step (E): FIG. 7E illustrates the structure after Step (E). An oxide layer 720 is deposited atop the structure obtained after Step (D). A first layer of Si/SiO2 722 is therefore formed atop the peripheral circuits 702.

Step (F): FIG. 7F illustrates the structure after Step (F). Using procedures similar to Steps (B)-(E), additional Si/SiO2 layers 724 and 726 are formed atop Si/SiO2 layer 722. A rapid thermal anneal (RTA) or spike anneal or flash anneal or laser anneal is then done to activate all implanted layers 722, 724 and 726 (and possibly also the peripheral circuits 702). Alternatively, the layers 722, 724 and 726 are annealed layer-by-layer as soon as their implantations are done using a laser anneal system.

Step (G): FIG. 7G illustrates the structure after Step (G). Lithography and etch processes are then utilized to make a structure as shown in the figure.

Step (H): FIG. 7H illustrates the structure after Step (H). Gate dielectric 728 and gate electrode 730 are then deposited following which a CMP is done to planarize the gate electrode 730 regions. Lithography and etch are utilized to define gate regions over the p− silicon regions (eg. p− Si region after Step (D)). Note that gate width could be slightly larger than p− region width to compensate for overlay errors in lithography.

Step (I): FIG. 7I illustrates the structure after Step (I). A silicon oxide layer 732 is then deposited and planarized. For clarity, the silicon oxide layer is shown transparent in the figure, along with word-line (WL) and source-line (SL) regions.

Step (J): FIG. 7J illustrates the structure after Step (J). Bit-line (BL) contacts 734 are formed by etching and deposition. These BL contacts are shared among all layers of memory.

Step (K): FIG. 7K illustrates the structure after Step (K). BLs 736 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be done in steps prior to Step (K) as well.

FIG. 7L shows cross-sectional views of the array for clarity. The double-gated transistors in FIG. 7 L can be utilized along with the floating body effect for storing information.

FIG. 7M shows a memory cell of the floating body RAM array with two gates on either side of the p− Si layer 719.

A floating-body DRAM has thus been constructed, with (1) horizontally-oriented transistors—i.e., current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.

While many of today's memory technologies rely on charge storage, several companies are developing non-volatile memory technologies based on resistance of a material changing. Examples of these resistance-based memories include phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, conductive bridge RAM, and MRAM. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W.; Kurdi, B. N.; Scott, J. C.; Lam, C. H.; Gopalakrishnan, K.; Shenoy, R. S.

FIG. 8A-J describe a novel memory architecture for resistance-based memories, and a procedure for its construction. The memory architecture utilizes junction-less transistors and has a resistance-based memory element in series with a transistor selector. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIG. 8A-J, and all other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.

Step (A): Peripheral circuits 802 are first constructed and above this oxide layer 804 is deposited. FIG. 8A shows a drawing illustration after Step (A).

Step (B): FIG. 8B illustrates the structure after Step (B). N+ Silicon wafer 808 has an oxide layer 806 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 814.

Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted n+ Silicon wafer 808 forms the top layer 810. The bottom layer 812 may include the peripheral circuits 802 with oxide layer 804.

The top layer 810 is flipped and bonded to the bottom layer 812 using oxide-to-oxide bonding.

Step (C): FIG. 8C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 814 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 818 is then deposited atop the n+ Silicon layer 816. At the end of this step, a single-crystal n+Si layer 816 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.

Step (D): FIG. 8D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 820 are formed with silicon oxide layers in between.

Step (E): FIG. 8E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.

Step (F): FIG. 8F illustrates the structure after Step (F). Gate dielectric 826 and gate electrode 824 are then deposited following which a CMP is performed to planarize the gate electrode 824 regions. Lithography and etch are utilized to define gate regions.

Step (G): FIG. 8G illustrates the structure after Step (G). A silicon oxide layer 830 is then deposited and planarized. The silicon oxide layer is shown transparent in the figure for clarity, along with word-line (WL) 832 and source-line (SL) 834 regions.

Step (H): FIG. 8H illustrates the structure after Step (H). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 836 is then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, well known to change resistance by applying voltage. An electrode for the resistance change memory element is then deposited (preferably using ALD) and is shown as electrode/BL contact 840. A CMP process is then conducted to planarize the surface. It can be observed that multiple resistance change memory elements in series with junction-less transistors are created after this step.

Step (I): FIG. 8I illustrates the structure after Step (I). BLs 838 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be achieved in steps prior to Step (I) as well.

FIG. 8J shows cross-sectional views of the array for clarity.

A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates that are simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.

While resistive memories described previously form a class of non-volatile memory, others classes of non-volatile memory exist. NAND flash memory forms one of the most common non-volatile memory types. It can be constructed of two main types of devices: floating-gate devices where charge is stored in a floating gate and charge-trap devices where charge is stored in a charge-trap layer such as Silicon Nitride. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”) and “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. The architectures shown in FIG. 9A-G are relevant for any type of charge-trap memory.

FIG. 9A-G describes a memory architecture for single-crystal 3D charge-trap memories, and a procedure for its construction. It utilizes junction-less transistors. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D charge-trap memory concept shown in FIG. 9A-G, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence.

Step (A): Peripheral circuits 902 are first constructed and above this oxide layer 904 is deposited. FIG. 9A shows a drawing illustration after Step (A).

Step (B): FIG. 9B illustrates the structure after Step (B). A wafer of n+ Silicon 908 has an oxide layer 906 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 914. Alternatively, some other atomic species such as Helium could be implanted. This hydrogen implanted n+ Silicon wafer 908 forms the top layer 910. The bottom layer 912 may include the peripheral circuits 902 with oxide layer 904. The top layer 910 is flipped and bonded to the bottom layer 912 using oxide-to-oxide bonding. Alternatively, n+ silicon wafer 908 may be doped differently, such as, for example, with elemental species that form a p+, or p−, or n− silicon wafer, or substantially absent of semiconductor dopants to form an undoped silicon wafer.

Step (C): FIG. 9C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 914 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 918 is then deposited atop the n+ Silicon layer 916. At the end of this step, a single-crystal n+Si layer 916 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.

Step (D): FIG. 9D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 920 are formed with silicon oxide layers in between.

Step (E): FIG. 9E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.

Step (F): FIG. 9F illustrates the structure after Step (F). Gate dielectric 926 and gate electrode 924 are then deposited following which a CMP is done to planarize the gate electrode 924 regions. Lithography and etch are utilized to define gate regions. Gates of the NAND string 936 as well gates of select gates of the NAND string 938 are defined.

Step (G): FIG. 9G illustrates the structure after Step (G). A silicon oxide layer 930 is then deposited and planarized. It is shown transparent in the figure for clarity. Word-lines, bit-lines and source-lines are defined as shown in the figure. Contacts are formed to various regions/wires at the edges of the array as well. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be performed in steps prior to Step (G) as well.

A 3D charge-trap memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines—e.g., bit lines BL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut. This use of single-crystal silicon obtained with ion-cut is a key differentiator from past work on 3D charge-trap memories such as “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. that used polysilicon.

While the 3D DRAM and 3D resistive memory implementations herein have been described with single crystal silicon constructed with ion-cut technology, other options exist. One could construct them with selective epi technology. Procedures for doing these will be clear to those skilled in the art.

FIG. 10A-B show it is not the only option for the architecture, as depicted in, for example, FIG. 28-FIG. 40A-H, and FIGS. 70-71 of U.S. Pat. No. 8,476,145, to have the peripheral transistors below the memory layers. Peripheral transistors could also be constructed above the memory layers, as shown in FIG. 10B. This periphery layer would utilize technologies described herein, and could utilize transistors including, such as, junction-less transistors or recessed channel transistors.

The monolithic 3D integration concepts described in this patent application can lead to novel embodiments of poly-silicon-based memory architectures as well. Poly silicon based architectures could potentially be cheaper than single crystal silicon based architectures when a large number of memory layers need to be constructed. While the below concepts are explained by using resistive memory architectures as an example, it will be clear to one skilled in the art that similar concepts can be applied to NAND flash memory and DRAM architectures described previously in this patent application.

FIG. 11A-E shows one embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps as described in the following sequence:

Step (A): As illustrated in FIG. 11A, peripheral circuits 1102 are constructed above which oxide layer 1104 is made.

Step (B): As illustrated in FIG. 11B, multiple layers of n+ doped amorphous silicon or polysilicon 1106 are deposited with layers of silicon dioxide 1108 in between. The amorphous silicon or polysilicon layers 1106 could be deposited using a chemical vapor deposition process, such as Low Pressure Chemical Vapor Deposition (LPCVD) or Plasma Enhanced Chemical Vapor Deposition (PECVD).

Step (C): As illustrated in FIG. 11C, a Rapid Thermal Anneal (RTA) is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 110° C. or more, and could even be as high as 800° C. The polysilicon region obtained after Step (C) is indicated as 1110. Alternatively, a laser anneal could be conducted, either for all amorphous silicon or polysilicon layers 1106 at the same time or layer by layer. The thickness of the oxide layer 1104 would need to be optimized if that process were conducted.

Step (D): As illustrated in FIG. 11D, procedures similar to those described in FIG. 32E-H are utilized to construct the structure shown. The structure in FIG. 11D has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 1136 while its electrode and contact to the BL is indicated as 1140. The WL is indicated as 1132, while the SL is indicated as 1134. Gate dielectric of the junction-less transistor is indicated as 1126 while the gate electrode of the junction-less transistor is indicated as 1124, this gate electrode also serves as part of the WL 1132.

Step (E): As illustrated in FIG. 1I E, bit lines (indicated as BL 1138) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.

FIG. 12A-F show another embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps occurring in sequence:

Step (A): As illustrated in FIG. 12A, a layer of silicon dioxide 1204 is deposited or grown above a silicon substrate without circuits 1202.

Step (B): As illustrated in FIG. 12B, multiple layers of n+ doped amorphous silicon or polysilicon 1206 are deposited with layers of silicon dioxide 1208 in between. The amorphous silicon or polysilicon layers 1206 could be deposited using a chemical vapor deposition process, such as LPCVD or PECVD.

Step (C): As illustrated in FIG. 12C, a Rapid Thermal Anneal (RTA) or standard anneal is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 700° C. or more, and could even be as high as 1400° C. The polysilicon region obtained after Step (C) is indicated as 1210. Since there are no circuits under these layers of polysilicon, very high temperatures (such as, for example, 1400° C.) can be used for the anneal process, leading to very good quality polysilicon with few grain boundaries and very high mobilities approaching those of single crystal silicon. Alternatively, a laser anneal could be conducted, either for all amorphous silicon or polysilicon layers 1206 at the same time or layer by layer at different times.

Step (D): This is illustrated in FIG. 12D. Procedures similar to those described in FIG. 32E-H are utilized to get the structure shown in FIG. 12D that has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 1236 while its electrode and contact to the BL is indicated as 1240. The WL is indicated as 1232, while the SL is indicated as 1234. Gate dielectric of the junction-less transistor is indicated as 1226 while the gate electrode of the junction-less transistor is indicated as 1224, this gate electrode also serves as part of the WL 1232.

Step (E): This is illustrated in FIG. 12E. Bit lines (indicated as BL 1238) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.

Step (F): Using procedures described in Section 1 and Section 2 of this patent application, peripheral circuits 1298 (with transistors and wires) could be formed well aligned to the multiple memory layers shown in Step (E). For the periphery, one could use the process flow shown in Section 2 where replacement gate processing is used, or one could use sub-400° C. processed transistors such as junction-less transistors or recessed channel transistors. Alternatively, one could use laser anneals for peripheral transistors' source-drain processing. Various other procedures described in Section 1 and Section 2 could also be used. Connections can then be formed between the multiple memory layers and peripheral circuits. By proper choice of materials for memory layer transistors and memory layer wires (e.g., by using tungsten and other materials that withstand high temperature processing for wiring), even standard transistors processed at high temperatures (>1000° C.) for the periphery could be used.

In many applications it is desired to use a combination of N type transistors and P type transistors. While using two overlaid layers, at least one layer of P type transistors on top of at least one layer of N type transistors, has been previously described herein and in referenced patent applications, it might be desired to have those transistors connected by the same overlaying interconnection layers coupling to one transistor layer. In U.S. Pat. No. 8,273,610, the contents of which are incorporated herein by reference, there are at least two flows to provide such. The flows could be adapted to vertical transistors just as well. The first flow suggests using repeating rows of N type and P type and is detailed in at least FIGS. 20-35 and FIGS. 73-79 of U.S. Pat. No. 8,273,610. An alternative flow suggests using layers within the strata in a vertical manner, and is described in at least FIG. 95 of U.S. Pat. No. 8,273,610.

While some concepts in this document have been described with respect to 3D-ICs with two stacked device layers, those of ordinary skill in the art will appreciate that it can be valid for 3D-ICs with more than two stacked device layers. Additionally, some of the concepts may be applied to 2D ICs.

An additional embodiment of the invention is to utilize the underlying interconnection layer or layers to provide connections and connection paths (electrical and/or thermal) for the overlying transistors. While the common practice in the IC industry is that interconnection layers are overlaying the transistors that they connect, the 3D IC technology may include the possibility of constructing connections underneath (below) the transistors as well. For example, some of the connections to, from, and in-between transistors in a layer of transistors may be provided by the interconnection layer or layers above the transistor layer, and some of the connections to, from, and in-between the transistors may be provided by the interconnection layer or layers below the transistor layer or layers. In general there is an advantage to have the interconnect closer to the transistors that they are connecting and using both sides of the transistors—both above and below—provides enhanced “closeness” to the transistors. In addition, there may be less interconnect routing congestion that would impede the efficient or possible connection of a transistor to transistors in other layers and to other transistors in the same layer.

The connection layers may, for example, include power delivery, heat removal, macro-cell connectivity, and routing between macro-cells. As illustrated in FIG. 13A-D, an exemplary illustration and description of connections below a layer of transistors and macro-cell formation and connection is shown. When the same reference numbers are used in different drawing figures (among FIGS. 13A-D), they may indicate analogous, similar or identical structures to enhance the understanding of the embodiments of the invention being discussed by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures. The term macro-cell may include one or more logic cells.

An important advantage is that the connections could be made above and below the transistor layers. A Macro-cell library could use under the transistor layer connections and over the transistor layer connections. A router can use under the transistor layer connections and over the transistor layer connections, and power delivery could use under the transistor layer connections and over the transistor layer connections. Some of the connections could be solely for the transistor of that layer and other connections could include connections to other transistor or device layers.

As illustrated in FIG. 13A, a repeating device or circuit structure, such as, for example, a gate-array like transistor structure, may be constructed in a layer, such as for example, monocrystalline silicon, as described elsewhere herein and in U.S. Pat. No. 8,273,610, whose contents are incorporated by reference. FIG. 13A is an exemplary illustration of the top view of three of the repeating elements of the gate-array like transistor structure layer. The exemplary repeating elements of the structure may include a first element 4218, a second element 4220, and a third element 4222, and each element may include two transistor pairs, for example, N transistor pair 4212 and P transistor pair 4214. N transistor pair 4212 may include common diffusion 4292 and a portion of first common gate 4216 and second common gate 4217. P transistor pair 4214 may include common diffusion 4294 and a portion of first common gate 4216 and second common gate 4217. The structure of FIG. 13A can represent a small section of a gate-array in which the structure keeps repeating.

As illustrated in FIG. 13B, the interconnection layers underneath (below) the transistors of FIG. 13A may be constructed to provide connections (along with the vias of FIG. 13C) between the transistors of FIG. 13A. Underneath (below) the transistors may be defined as being in the direction of the TL Vs (thru Layer Vias) or TSVs (Thru Silicon Vias) that are going through the layer of transistor structures and transistors referred to in the FIG. 13A discussion. The view of exemplary illustration FIG. 13B is from below the interconnection layers which are below the repeating device or circuit structure; however, the orientation of the repeating device or circuit structure is kept the same as FIG. 13A for clarity. The interconnection layers underneath may include a ground-‘Vss’ power grid 4224 and a power-‘Vdd’ power grid 4226. The interconnection layers underneath may include macro-cell construction connections such as, for example, NOR gate macro-cell connection 4228 for a NOR gate cell formation formed by the four transistors of first element 4218, NAND gate macro-cell connection 4230 for a NAND gate cell formation formed by the four transistors of second element 4220, and Inverter macro-gate cell connection 4232 for an Inverter gate cell formation formed by two of the four transistors of third element 4222. The interconnection layers may include routing connection 4240 which connects the output of the NOR gate of first element 4218 to the input of the NAND gate of second element 4220, and additional routing connection 4242 which connects the output of the NAND gate of second element 4220 to the input of the inverter gate of third element 4222. The macro-cells and the routing connections (or routing structures) are part of the logic cell and logic circuit construction. The connection material may include for example, copper, aluminum, and/or conductive carbon.

As illustrated in FIG. 13C, generic connections 4250 may be formed to electrically connect the transistors of FIG. 13A to the underlying connection layer or layers presented in FIG. 13B. Generic connections 4250 may also be called contacts as they represent the contact made between the interconnection layers and the transistors themselves, and may also be called TLVs (Thru Layer Vias), as described elsewhere herein. The diameter of the connections, such as, for example, generic connections 4250, may be, for example, less than 1 um, less than 100 nm, or less than 40 nm, and the alignment of the connections to the underlying interconnection layer or layers or to the transistors may be less than 40 nm or even less than 10 nm, and may utilize conventional industry lithography tools.

The process flow may involve first processing the connection layers such as presented in FIG. 13B. Connections such as power busses ground-‘Vss’ power grid 4224 and a power-‘Vdd’ power grid 4226 and macro cell connections segments NOR gate macro-cell connection 4228, NAND gate macro-cell connection 4230, and Inverter macro-gate cell connection 4232 and routing segments routing connection 4240 and additional routing connection 4242, could substantially all be processed at the top metal interconnect layers of the base wafer, and accordingly be aligned to the base wafer alignment marks with far less than 40 nm alignment error. An oxide layer could be deposited and a layer of single crystal silicon could be transferred over using a process flow such as been described herein or in referenced patents and patent applications. And may be followed by processing steps for forming transistors such as presented in FIG. 13A (N transistor pair 4212 and P transistor pair 4214) aligned to the base wafer alignment marks using a process flow such as been described herein or in reference patents and patent applications. The monolithic 3D transistors in the transistor layer could be made by any of the techniques presented herein or other techniques. The connections between the transistors and the underlying connection layers may be processed. For example, as illustrated in FIG. 13C (now viewing from the topside, in the direction opposite that of FIG. 13B), generic connections 4250 may be specifically employed as power grid connections, such as Vss connection 4252 and second Vss connection 4251, and Vdd connection 4253. Further, generic connections 4250 may be specifically employed as macro-cell connections, such as macro-cell connection 4254 and second macro-cell connection 4255, connecting/coupling a specific location of common diffusion 4292 to a specific location of common diffusion 4294 with NOR gate macro-cell connection 4228. Moreover, generic connections 4250 may be specifically employed as connections to routing, such as, for example, routing connection 4260 and second routing connection 4262. FIG. 13C also includes an illustration of the logic schematic 4270 represented by the physical illustrations of FIG. 13A, FIG. 13B and FIG. 13C.

As illustrated in FIG. 13D, and with reference to the discussion of at least FIGS. 47A and 47B of U.S. patent application Ser. No. 13/441,923 and FIGS. 59 and 60 of U.S. Pat. No. 8,273,610, thru silicon connection 4289, which may be the generic connections 4250 previously discussed, may provide connection from the transistor layer 4284 to the underlying interconnection layer 4282. Underlying interconnection layer 4282 may include one or more layers of ‘1×’ thickness metals, isolations and spacing as described with respect to the referenced FIGS. 47A&B and FIGS. 59 and 60. Alternatively, thru layer connection 4288, which may be the generic connections 4250 previously discussed, may provide connection from the transistor layer 4284 to the underlying interconnection layer 4282 by connecting to the above interconnection layer 4286 which connects to the transistor layer 4284. Further connection to the substrate transistor layer 4272 may utilize making a connection from underlying interconnection layer 4282 to 2× interconnection layer 4280, which may be connected to 4× interconnection layer 4278, which may be connected to substrate 2× interconnection layer 4276, which may be connected to substrate 1× interconnection layer 4274, which may connect to substrate transistor layer 4272. Underlying interconnection layer 4282, above interconnection layer 4286, 2× interconnection layer 4280, 4× interconnection layer 4278, substrate 2× interconnection layer 4276, and substrate 1× interconnection layer 4274 may include one or more interconnect layers, each of which may include metal interconnect lines, vias, and isolation materials. As described in detail in the referenced FIGS. 47A&B and FIGS. 59 and 60 discussions, 1× layers may be thinner than 2× layers, and 2× layers may be thinner than 4× layers.

The design flow of a 3D IC that incorporates the “below-transistor” connections, such as are described for example, with respect to FIGS. 13A-D, would need to be modified accordingly. The chip power grid may need to be designed to include the below-transistors grid and connection of this grid to the overall chip power grid structure. The macro-cell library may need to be designed to include below-transistor connections. The Place and Route tool may need to be modified to make use of the below-transistor routing resources. The resources might include the power grid aspect, the macro-cell aspect, the allocation of routing resources underneath (below), heat transfer considerations, and the number of layers underneath that may be allocated for the routing task. Typically, at least two interconnection layers underneath may be allocated.

For the case of connecting below-transistor routing layers to the conventional above-transistor routing layers, each connection may pass through generic connections 4250 to cross the transistor-forming layers. Such contacts may already exist for many nets that directly connect to transistor sources, drains, and gates; and hence, such nets can be relatively freely routed using both below- and above-transistors interconnection routing layers. Other nets that may not normally include generic connections 4250 in their structure may be routed on either side of the transistor layer but not both, as crossing the transistor layer may incur creating additional generic connections 4250; and hence, potentially congest the transistor layer.

Consequently, a good approach for routing in such a situation may be to use the below-transistor layers for short-distance wiring and create wiring library macros that may tend to be short-distanced in nature. Macro outputs, on the other hand, frequently need to additionally connect to remote locations and should be made available at contacts, such as generic connections 4250, that are to be used on both sides of the transistor layer. When routing, nets that are targeted for both below and above the transistor layer and that do not include contacts such as generic connections 4250 may need special prioritized handling that may split them into two or more parts and insert additional contact[s] in the transistor layer before proceeding to route the design. An additional advantage of the availability and use of an increased number of routing layers on both sides of the transistor layer is the router's greater ability to use relaxed routing rules while not increasing routing congestion. For example, relaxing routing rules such as wider traces, wherein 1.5× or more the width of those traces used for the same layer in one sided routing for the same process node could be utilized in the two sided routing (above and below transistor layer), and may result in reduced resistance; and larger metal spacing, wherein 1.5× or more the space of those spaces used for the same layer in one sided routing for the same process node, could be utilized in the two sided routing (above and below transistor layer), and may result in decreased crosstalk and capacitance.

Persons of ordinary skill in the art will appreciate that the illustrations in FIGS. 13A through 13D are exemplary only and are not drawn to scale. Such skilled persons will further appreciate that many variations are possible such as, for example, the interconnection layer or layer below or above the transistor layer may also be utilized for connection to other strata and transistor layers, not just the transistor layer that is between the above and below interconnection layer or layers. Furthermore, connections made directly underneath and to common diffusions, such as common diffusion 4292 and second common diffusion 4294, may be problematic in some process flows and TLVs through the adjacent STI (shallow trench isolation) area with routing thru the first layer of interconnect above the transistor layer to the TL V may instead be utilized. Moreover, silicon connection 4289 may be more than just a diffusion connection such as Vss connection 4252, second Vss connection 4251, and Vdd connection 4253, such as, for example, macro-cell connection 4254, second macro-cell connection 4255, routing connection 4260, or second routing connection 4262. Furthermore, substrate transistor layer 4272 may also be a transistor layer above a lower transistor layer in a 3D IC stack. Many other modifications within the scope of the invention will suggest themselves to such skilled persons after reading this specification. Thus the invention is to be limited only by the appended claims.

Some embodiments of the invention may include alternative techniques to build IC (Integrated Circuit) devices including techniques and methods to construct 3D IC systems. Some embodiments of the invention may enable device solutions with far less power consumption than prior art. The device solutions could be very useful for the growing application of mobile electronic devices and mobile systems such as, for example, mobile phones, smart phone, and cameras, those mobile systems may also connect to the internet. For example, incorporating the 3D IC semiconductor devices according to some embodiments of the invention within the mobile electronic devices and mobile systems could provide superior mobile units that could operate much more efficiently and for a much longer time than with prior art technology.

Smart mobile systems may be greatly enhanced by complex electronics at a limited power budget. The 3D technology described in the multiple embodiments of the invention would allow the construction of low power high complexity mobile electronic systems. For example, it would be possible to integrate into a small form function a complex logic circuit with high density high speed memory utilizing some of the 3D DRAM embodiments of the invention and add some non-volatile 3D NAND charge trap or RRAM described in some embodiments of the invention. Mobile system applications of the 3DIC technology described herein may be found at least in FIG. 156 of U.S. Pat. No. 8,273,610, the contents of which are incorporated by reference.

In this document, the connection made between layers of, generally single crystal, transistors, which may be variously named for example as thermal contacts and vias, Thru Layer Via (TL V), TSV (Thru Silicon Via), may be made and include electrically and thermally conducting material or may be made and include an electrically non-conducting but thermally conducting material or materials. A device or method may include formation of both of these types of connections, or just one type. By varying the size, number, composition, placement, shape, or depth of these connection structures, the coefficient of thermal expansion exhibited by a layer or layers may be tailored to a desired value. For example, the coefficient of thermal expansion of the second layer of transistors may be tailored to substantially match the coefficient of thermal expansion of the first layer, or base layer of transistors, which may include its (first layer) interconnect layers.

Base wafers or substrates, or acceptor wafers or substrates, or target wafers substrates herein may be substantially comprised of a crystalline material, for example, mono-crystalline silicon or germanium, or may be an engineered substrate/wafer such as, for example, an SOI (Silicon on Insulator) wafer or GeOI (Germanium on Insulator) substrate.

It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. For example, drawings or illustrations may not show n or p wells for clarity in illustration. Moreover, transistor channels illustrated or discussed herein may include doped semiconductors, but may instead include undoped semiconductor material. Further, any transferred layer or donor substrate or wafer preparation illustrated or discussed herein may include one or more undoped regions or layers of semiconductor material. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims

1. A semiconductor device, the device comprising:

a first substrate;
a first metal layer disposed over said first substrate;
a second metal layer disposed over said first metal layer;
a first level comprising a plurality of transistors, said first level disposed over said second metal layer, wherein said plurality of transistors each comprise single crystal silicon;
a third metal layer disposed over said first level;
a fourth metal layer disposed over said third metal layer, wherein said fourth metal layer is aligned to said first metal layer with a less than 200 nm alignment error; and
a via disposed through said first level, wherein said via has a diameter of less than 450 nm, wherein said fourth metal layer provides a global power distribution, and wherein processing of said device comprises use of a carrier wafer.

2. The device according to claim 1,

wherein said via comprises tungsten.

3. The device according to claim 1,

wherein said first substrate comprises single crystal silicon.

4. The device according to claim 1, further comprising:

connection pads disposed over portions of said fourth metal layer, wherein said connection pads are capable to provide connections to external devices.

5. The device according to claim 1,

wherein an average thickness of said first level is less than two microns.

6. The device according to claim 1,

wherein at least one of said plurality of transistors comprises a gate-all-around transistor structure.

7. The device according to claim 1, further comprising:

a plurality of power decoupling capacitors.

8. A semiconductor device, the device comprising:

a first substrate;
a first metal layer disposed over said first substrate;
a second metal layer disposed over said first metal layer;
a first level comprising a single crystal layer and a plurality of transistors, said first level disposed over said second metal layer, wherein an average thickness of said single crystal layer is less than one micron;
a third metal layer disposed over said first level;
a fourth metal layer disposed over said third metal layer, wherein said fourth metal layer is aligned to said first metal layer with a less than 200 nm alignment error; and
a via disposed through said first level, and wherein processing of said single crystal layer to said thickness comprises use of at least one etch rate selectivity.

9. The device according to claim 8, further comprising:

a plurality of heat removal paths, wherein said heat removal paths are designed to remove heat from said plurality of transistors to an external surface of said device.

10. The device according to claim 8,

wherein an average thickness of said fourth metal layer is greater than an average thickness of said second metal layer by at least 40%.

11. The device according to claim 8,

wherein said first substrate comprises single crystal silicon.

12. The device according to claim 8, further comprising:

a global power distribution network; and
a local power distribution network, wherein said global power distribution network comprises said fourth metal layer.

13. The device according to claim 8,

wherein at least one of said plurality of transistors comprises a four-sided-gate transistor structure.

14. The device according to claim 8, further comprising:

a plurality of power decoupling capacitors.

15. A semiconductor device, the device comprising:

a first substrate;
a first metal layer disposed over said first substrate;
a second metal layer disposed over said first metal layer;
a first level comprising a plurality of transistors, said first level disposed over said second metal layer, wherein said plurality of transistors comprise single crystal silicon;
a third metal layer disposed over said first level;
a fourth metal layer disposed over said third metal layer, wherein said fourth metal layer is aligned to said first metal layer with a less than 200 nm alignment error;
a global power distribution network;
a local power distribution network, wherein said global power distribution network comprises said fourth metal layer, and wherein said local power distribution network comprises said third metal layer; and
at least one direct contact, wherein said at least one direct contact connects at least one of said plurality of transistors to said third metal layer.

16. The device according to claim 15,

wherein said first substrate comprises single crystal silicon.

17. The device according to claim 15,

wherein an average thickness of said first level is less than two microns.

18. The device according to claim 15,

wherein at least one of said plurality of transistors comprises a gate-all-around transistor structure.

19. The device according to claim 15,

wherein an average thickness of said fourth metal layer is at least 50% greater than an average thickness of said third metal.

20. The device according to claim 15, further comprising:

a plurality of power decoupling capacitors.
Referenced Cited
U.S. Patent Documents
3007090 October 1961 Rutz
3819959 June 1974 Chang et al.
4009483 February 22, 1977 Clark
4197555 April 8, 1980 Uehara et al.
4213139 July 15, 1980 Rao et al.
4400715 August 23, 1983 Barbee et al.
4487635 December 11, 1984 Kugimiya et al.
4510670 April 16, 1985 Schwabe
4522657 June 11, 1985 Rohatgi et al.
4612083 September 16, 1986 Yasumoto et al.
4643950 February 17, 1987 Ogura et al.
4704785 November 10, 1987 Curran
4711858 December 8, 1987 Harder et al.
4721885 January 26, 1988 Brodie
4732312 March 22, 1988 Kennedy et al.
4733288 March 22, 1988 Sato
4829018 May 9, 1989 Wahlstrom
4854986 August 8, 1989 Raby
4866304 September 12, 1989 Yu
4939568 July 3, 1990 Kato et al.
4956307 September 11, 1990 Pollack et al.
5012153 April 30, 1991 Atkinson et al.
5032007 July 16, 1991 Silverstein et al.
5047979 September 10, 1991 Leung
5087585 February 11, 1992 Hayashi
5093704 March 3, 1992 Sato et al.
5106775 April 21, 1992 Kaga et al.
5152857 October 6, 1992 Ito et al.
5162879 November 10, 1992 Gill
5189500 February 23, 1993 Kusunoki
5217916 June 8, 1993 Anderson et al.
5250460 October 5, 1993 Yamagata et al.
5258643 November 2, 1993 Cohen
5265047 November 23, 1993 Leung et al.
5266511 November 30, 1993 Takao
5277748 January 11, 1994 Sakaguchi et al.
5286670 February 15, 1994 Kang et al.
5294556 March 15, 1994 Kawamura
5308782 May 3, 1994 Mazure et al.
5312771 May 17, 1994 Yonehara
5317236 May 31, 1994 Zavracky et al.
5324980 June 28, 1994 Kusunoki
5355022 October 11, 1994 Sugahara et al.
5371037 December 6, 1994 Yonehara
5374564 December 20, 1994 Bruel
5374581 December 20, 1994 Ichikawa et al.
5424560 June 13, 1995 Norman et al.
5475280 December 12, 1995 Jones et al.
5478762 December 26, 1995 Chao
5485031 January 16, 1996 Zhang et al.
5498978 March 12, 1996 Takahashi et al.
5527423 June 18, 1996 Neville et al.
5535342 July 9, 1996 Taylor
5554870 September 10, 1996 Fitch et al.
5563084 October 8, 1996 Ramm et al.
5583349 December 10, 1996 Norman et al.
5583350 December 10, 1996 Norman et al.
5586291 December 17, 1996 Lasker
5594563 January 14, 1997 Larson
5604137 February 18, 1997 Yamazaki et al.
5617991 April 8, 1997 Pramanick et al.
5627106 May 6, 1997 Hsu
5656548 August 12, 1997 Zavracky et al.
5656553 August 12, 1997 Leas et al.
5659194 August 19, 1997 Iwamatsu
5670411 September 23, 1997 Yonehara
5681756 October 28, 1997 Norman et al.
5695557 December 9, 1997 Yamagata et al.
5701027 December 23, 1997 Gordon et al.
5707745 January 13, 1998 Forrest et al.
5714395 February 3, 1998 Bruel
5721160 February 24, 1998 Forrest et al.
5737748 April 7, 1998 Shigeeda
5739552 April 14, 1998 Kimura et al.
5744979 April 28, 1998 Goetting
5748161 May 5, 1998 Lebby et al.
5757026 May 26, 1998 Forrest et al.
5770483 June 23, 1998 Kadosh
5770881 June 23, 1998 Pelella et al.
5781031 July 14, 1998 Bertin et al.
5817574 October 6, 1998 Gardner
5829026 October 27, 1998 Leung et al.
5835396 November 10, 1998 Zhang
5854123 December 29, 1998 Sato et al.
5861929 January 19, 1999 Spitzer
5877034 March 2, 1999 Ramm
5877070 March 2, 1999 Goesele et al.
5882987 March 16, 1999 Srikrishnan
5883525 March 16, 1999 Tavana et al.
5889903 March 30, 1999 Rao
5893721 April 13, 1999 Huang et al.
5915167 June 22, 1999 Leedy
5920788 July 6, 1999 Reinberg
5937312 August 10, 1999 Iyer et al.
5943574 August 24, 1999 Tehrani et al.
5952680 September 14, 1999 Strite
5952681 September 14, 1999 Chen
5965875 October 12, 1999 Merrill
5977579 November 2, 1999 Noble
5977961 November 2, 1999 Rindal
5980633 November 9, 1999 Yamagata et al.
5985742 November 16, 1999 Henley et al.
5994746 November 30, 1999 Reisinger
5998808 December 7, 1999 Matsushita
6001693 December 14, 1999 Yeouchung et al.
6009496 December 28, 1999 Tsai
6020252 February 1, 2000 Aspar et al.
6020263 February 1, 2000 Shih et al.
6027958 February 22, 2000 Vu et al.
6030700 February 29, 2000 Forrest et al.
6052498 April 18, 2000 Paniccia
6054370 April 25, 2000 Doyle
6057212 May 2, 2000 Chan et al.
6071795 June 6, 2000 Cheung et al.
6075268 June 13, 2000 Gardner et al.
6103597 August 15, 2000 Aspar et al.
6111260 August 29, 2000 Dawson et al.
6125217 September 26, 2000 Paniccia et al.
6153495 November 28, 2000 Kub et al.
6191007 February 20, 2001 Matsui et al.
6200878 March 13, 2001 Yamagata
6222203 April 24, 2001 Ishibashi et al.
6226197 May 1, 2001 Nishimura
6229161 May 8, 2001 Nemati et al.
6242324 June 5, 2001 Kub et al.
6242778 June 5, 2001 Marmillion et al.
6252465 June 26, 2001 Katoh
6259623 July 10, 2001 Takahashi
6261935 July 17, 2001 See et al.
6264805 July 24, 2001 Forrest et al.
6281102 August 28, 2001 Cao et al.
6294018 September 25, 2001 Hamm et al.
6306705 October 23, 2001 Parekh et al.
6321134 November 20, 2001 Henley et al.
6322903 November 27, 2001 Siniaguine et al.
6331468 December 18, 2001 Aronowitz et al.
6331790 December 18, 2001 Or-Bach et al.
6331943 December 18, 2001 Naji et al.
6353492 March 5, 2002 McClelland et al.
6355501 March 12, 2002 Fung et al.
6355976 March 12, 2002 Faris
6358631 March 19, 2002 Forrest et al.
6365270 April 2, 2002 Forrest et al.
6376337 April 23, 2002 Wang et al.
6377504 April 23, 2002 Hilbert
6380046 April 30, 2002 Yamazaki
6392253 May 21, 2002 Saxena
6404043 June 11, 2002 Isaak
6417108 July 9, 2002 Akino et al.
6420215 July 16, 2002 Knall et al.
6423614 July 23, 2002 Doyle
6429481 August 6, 2002 Mo et al.
6429484 August 6, 2002 Yu
6430734 August 6, 2002 Zahar
6448615 September 10, 2002 Forbes
6475869 November 5, 2002 Yu
6476493 November 5, 2002 Or-Bach et al.
6479821 November 12, 2002 Hawryluk et al.
6483707 November 19, 2002 Freuler et al.
6507115 January 14, 2003 Hofstee
6515334 February 4, 2003 Yamazaki et al.
6515511 February 4, 2003 Sugibayashi et al.
6526559 February 25, 2003 Schiefele et al.
6528391 March 4, 2003 Henley et al.
6534352 March 18, 2003 Kim
6534382 March 18, 2003 Sakaguchi et al.
6544837 April 8, 2003 Divakauni et al.
6545314 April 8, 2003 Forbes et al.
6555901 April 29, 2003 Yoshihara et al.
6563139 May 13, 2003 Hen
6580124 June 17, 2003 Cleeves
6580289 June 17, 2003 Cox
6600173 July 29, 2003 Tiwari
6617694 September 9, 2003 Kodaira et al.
6620659 September 16, 2003 Emmma et al.
6624046 September 23, 2003 Zavracky et al.
6627518 September 30, 2003 Inoue et al.
6627985 September 30, 2003 Huppenthal et al.
6630713 October 7, 2003 Geusic
6635552 October 21, 2003 Gonzalez
6635588 October 21, 2003 Hawryluk et al.
6638834 October 28, 2003 Gonzalez
6642744 November 4, 2003 Or-Bach et al.
6653209 November 25, 2003 Yamagata
6653712 November 25, 2003 Knall et al.
6661085 December 9, 2003 Kellar et al.
6677204 January 13, 2004 Cleeves et al.
6686253 February 3, 2004 Or-Bach
6689660 February 10, 2004 Noble
6701071 March 2, 2004 Wada et al.
6703328 March 9, 2004 Tanaka et al.
6756633 June 29, 2004 Wang et al.
6756811 June 29, 2004 Or-Bach
6759282 July 6, 2004 Campbell et al.
6762076 July 13, 2004 Kim et al.
6774010 August 10, 2004 Chu et al.
6805979 October 19, 2004 Ogura et al.
6806171 October 19, 2004 Ulyashin et al.
6809009 October 26, 2004 Aspar et al.
6815781 November 9, 2004 Vyvoda et al.
6819136 November 16, 2004 Or-Bach
6821826 November 23, 2004 Chan et al.
6841813 January 11, 2005 Walker et al.
6844243 January 18, 2005 Gonzalez
6864534 March 8, 2005 Ipposhi et al.
6875671 April 5, 2005 Faris
6882572 April 19, 2005 Wang et al.
6888375 May 3, 2005 Feng et al.
6917219 July 12, 2005 New
6927431 August 9, 2005 Gonzalez
6930511 August 16, 2005 Or-Bach
6943067 September 13, 2005 Greenlaw
6943407 September 13, 2005 Ouyang et al.
6949421 September 27, 2005 Padmanabhan et al.
6953956 October 11, 2005 Or-Bach et al.
6967149 November 22, 2005 Meyer et al.
6985012 January 10, 2006 Or-Bach
6989687 January 24, 2006 Or-Bach
6995430 February 7, 2006 Langdo et al.
6995456 February 7, 2006 Nowak
7015719 March 21, 2006 Feng et al.
7016569 March 21, 2006 Mule et al.
7018875 March 28, 2006 Madurawe
7019557 March 28, 2006 Madurawe
7043106 May 9, 2006 West et al.
7052941 May 30, 2006 Lee
7064579 June 20, 2006 Madurawe
7067396 June 27, 2006 Aspar et al.
7067909 June 27, 2006 Reif et al.
7068070 June 27, 2006 Or-Bach
7068072 June 27, 2006 New et al.
7078739 July 18, 2006 Nemati et al.
7094667 August 22, 2006 Bower
7098691 August 29, 2006 Or-Bach et al.
7105390 September 12, 2006 Brask et al.
7105871 September 12, 2006 Or-Bach et al.
7109092 September 19, 2006 Tong
7110629 September 19, 2006 Bjorkman et al.
7111149 September 19, 2006 Eilert
7112815 September 26, 2006 Prall
7115945 October 3, 2006 Lee et al.
7115966 October 3, 2006 Ido et al.
7141853 November 28, 2006 Campbell et al.
7148119 December 12, 2006 Sakaguchi et al.
7157787 January 2, 2007 Kim et al.
7157937 January 2, 2007 Apostol et al.
7166520 January 23, 2007 Henley
7170807 January 30, 2007 Fazan et al.
7173369 February 6, 2007 Forrest et al.
7180091 February 20, 2007 Yamazaki et al.
7180379 February 20, 2007 Hopper et al.
7183611 February 27, 2007 Bhattacharyya
7189489 March 13, 2007 Kunimoto et al.
7205204 April 17, 2007 Ogawa et al.
7209384 April 24, 2007 Kim
7217636 May 15, 2007 Atanackovic
7223612 May 29, 2007 Sarma
7242012 July 10, 2007 Leedy
7245002 July 17, 2007 Akino et al.
7256104 August 14, 2007 Ito et al.
7259091 August 21, 2007 Schuehrer et al.
7265421 September 4, 2007 Madurawe
7271420 September 18, 2007 Cao
7274207 September 25, 2007 Sugawara et al.
7282951 October 16, 2007 Huppenthal et al.
7284226 October 16, 2007 Kondapalli
7296201 November 13, 2007 Abramovici
7304355 December 4, 2007 Zhang
7312109 December 25, 2007 Madurawe
7312487 December 25, 2007 Alam et al.
7314788 January 1, 2008 Shaw
7335573 February 26, 2008 Takayama et al.
7337425 February 26, 2008 Kirk
7338884 March 4, 2008 Shimoto et al.
7342415 March 11, 2008 Teig et al.
7351644 April 1, 2008 Henley
7358601 April 15, 2008 Plants et al.
7362133 April 22, 2008 Madurawe
7369435 May 6, 2008 Forbes
7371660 May 13, 2008 Henley et al.
7378702 May 27, 2008 Lee
7381989 June 3, 2008 Kim
7385283 June 10, 2008 Wu
7393722 July 1, 2008 Issaq et al.
7402483 July 22, 2008 Yu et al.
7402897 July 22, 2008 Leedy
7419844 September 2, 2008 Lee et al.
7432185 October 7, 2008 Kim
7436027 October 14, 2008 Ogawa et al.
7439773 October 21, 2008 Or-Bach et al.
7446563 November 4, 2008 Madurawe
7459752 December 2, 2008 Doris et al.
7459763 December 2, 2008 Issaq et al.
7459772 December 2, 2008 Speers
7463062 December 9, 2008 Or-Bach et al.
7463502 December 9, 2008 Stipe
7470142 December 30, 2008 Lee
7470598 December 30, 2008 Lee
7476939 January 13, 2009 Okhonin et al.
7477540 January 13, 2009 Okhonin et al.
7485968 February 3, 2009 Enquist et al.
7486563 February 3, 2009 Waller et al.
7488980 February 10, 2009 Takafuji et al.
7492632 February 17, 2009 Carman
7495473 February 24, 2009 McCollum et al.
7498675 March 3, 2009 Farnworth et al.
7499352 March 3, 2009 Singh
7499358 March 3, 2009 Bauser
7508034 March 24, 2009 Takafuji et al.
7514748 April 7, 2009 Fazan et al.
7521806 April 21, 2009 Trezza
7525186 April 28, 2009 Kim et al.
7535089 May 19, 2009 Fitzgerald
7541616 June 2, 2009 Fazan et al.
7547589 June 16, 2009 Iriguchi
7553745 June 30, 2009 Lim
7557367 July 7, 2009 Rogers et al.
7558141 July 7, 2009 Katsumata et al.
7563659 July 21, 2009 Kwon et al.
7566855 July 28, 2009 Olsen et al.
7566974 July 28, 2009 Konevecki
7586778 September 8, 2009 Ho et al.
7589375 September 15, 2009 Jang et al.
7608848 October 27, 2009 Ho et al.
7612411 November 3, 2009 Walker
7615462 November 10, 2009 Kim et al.
7622367 November 24, 2009 Nuzzo et al.
7632738 December 15, 2009 Lee
7633162 December 15, 2009 Lee
7666723 February 23, 2010 Frank et al.
7670912 March 2, 2010 Yeo
7671371 March 2, 2010 Lee
7671460 March 2, 2010 Lauxtermann et al.
7674687 March 9, 2010 Henley
7687372 March 30, 2010 Jain
7687872 March 30, 2010 Cazaux
7688619 March 30, 2010 Lung et al.
7692202 April 6, 2010 Bensch
7692448 April 6, 2010 Solomon
7692944 April 6, 2010 Bernstein et al.
7697316 April 13, 2010 Lai et al.
7709932 May 4, 2010 Nemoto et al.
7718508 May 18, 2010 Lee
7719876 May 18, 2010 Chevallier
7723207 May 25, 2010 Alam et al.
7728326 June 1, 2010 Yamazaki et al.
7732301 June 8, 2010 Pinnington et al.
7741673 June 22, 2010 Tak et al.
7742331 June 22, 2010 Watanabe
7745250 June 29, 2010 Han
7749884 July 6, 2010 Mathew et al.
7750669 July 6, 2010 Spangaro
7755622 July 13, 2010 Yvon
7759043 July 20, 2010 Tanabe et al.
7768115 August 3, 2010 Lee et al.
7772039 August 10, 2010 Kerber
7772096 August 10, 2010 DeSouza et al.
7774735 August 10, 2010 Sood
7776715 August 17, 2010 Wells et al.
7777330 August 17, 2010 Pelley et al.
7786460 August 31, 2010 Lung et al.
7786535 August 31, 2010 Abou-Khalil et al.
7790524 September 7, 2010 Abadeer et al.
7795619 September 14, 2010 Hara
7799675 September 21, 2010 Lee
7800099 September 21, 2010 Yamazaki et al.
7800148 September 21, 2010 Lee et al.
7800163 September 21, 2010 Izumi et al.
7800199 September 21, 2010 Oh et al.
7816721 October 19, 2010 Yamazaki
7843718 November 30, 2010 Koh et al.
7846814 December 7, 2010 Lee
7863095 January 4, 2011 Sasaki et al.
7864568 January 4, 2011 Fujisaki et al.
7867822 January 11, 2011 Lee
7888764 February 15, 2011 Lee
7910432 March 22, 2011 Tanaka et al.
7915164 March 29, 2011 Konevecki et al.
7919845 April 5, 2011 Karp
7965102 June 21, 2011 Bauer et al.
7968965 June 28, 2011 Kim
7969193 June 28, 2011 Wu et al.
7973314 July 5, 2011 Yang
7982250 July 19, 2011 Yamazaki et al.
7983065 July 19, 2011 Samachisa
8008732 August 30, 2011 Kiyotoshi
8013399 September 6, 2011 Thomas et al.
8014166 September 6, 2011 Yazdani
8014195 September 6, 2011 Okhonin et al.
8022493 September 20, 2011 Bang
8030780 October 4, 2011 Kirby et al.
8031544 October 4, 2011 Kim et al.
8032857 October 4, 2011 McIlrath
8044448 October 25, 2011 Kamigaichi et al.
8044464 October 25, 2011 Yamazaki et al.
8068364 November 29, 2011 Maejima
8106520 January 31, 2012 Keeth et al.
8107276 January 31, 2012 Breitwisch et al.
8129256 March 6, 2012 Farooq et al.
8129258 March 6, 2012 Hosier et al.
8130547 March 6, 2012 Widjaja et al.
8136071 March 13, 2012 Solomon
8138502 March 20, 2012 Nakamura et al.
8153520 April 10, 2012 Chandrashekar
8158515 April 17, 2012 Farooq et al.
8178919 May 15, 2012 Fujiwara et al.
8183630 May 22, 2012 Batude et al.
8184463 May 22, 2012 Saen et al.
8185685 May 22, 2012 Selinger
8203187 June 19, 2012 Lung et al.
8208279 June 26, 2012 Lue
8209649 June 26, 2012 McIlrath
8228684 July 24, 2012 Losavio et al.
8266560 September 11, 2012 McIlrath
8264065 September 11, 2012 Su et al.
8288816 October 16, 2012 Komori et al.
8294199 October 23, 2012 Yahashi et al.
8324680 December 4, 2012 Izumi et al.
8338882 December 25, 2012 Tanaka et al.
8343851 January 1, 2013 Kim et al.
8354308 January 15, 2013 Kang et al.
8355273 January 15, 2013 Liu
8374033 February 12, 2013 Kito et al.
8426294 April 23, 2013 Lung et al.
8432719 April 30, 2013 Lue
8432751 April 30, 2013 Hafez
8455941 June 4, 2013 Ishihara et al.
8470689 June 25, 2013 Desplobain et al.
8497512 July 30, 2013 Nakamura et al.
8501564 August 6, 2013 Suzawa
8507972 August 13, 2013 Oota et al.
8508994 August 13, 2013 Okhonin
8513725 August 20, 2013 Sakuma et al.
8514623 August 20, 2013 Widjaja et al.
8516408 August 20, 2013 Dell
8566762 October 22, 2013 Morimoto et al.
8525342 September 3, 2013 Chandrasekaran
8546956 October 1, 2013 Nguyen
8603888 December 10, 2013 Liu
8611388 December 17, 2013 Krasulick et al.
8619490 December 31, 2013 Yu
8630326 January 14, 2014 Krasulick et al.
8643162 February 4, 2014 Madurawe
8650516 February 11, 2014 McIlrath
8654584 February 18, 2014 Kim et al.
8679861 March 25, 2014 Bose
8736068 May 27, 2014 Bartley et al.
8773562 July 8, 2014 Fan
8775998 July 8, 2014 Morimoto
8824183 September 2, 2014 Samachisa et al.
8841777 September 23, 2014 Farooq
8853785 October 7, 2014 Augendre
8896054 November 25, 2014 Sakuma et al.
8928119 January 6, 2015 Leedy
8971114 March 3, 2015 Kang
9105689 August 11, 2015 Fanelli
9172008 October 27, 2015 Hwang
9227456 January 5, 2016 Chien
9230973 January 5, 2016 Pachamuthu et al.
9269608 February 23, 2016 Fanelli
9334582 May 10, 2016 See
9391090 July 12, 2016 Manorotkul et al.
9472568 October 18, 2016 Shin et al.
9564450 February 7, 2017 Sakuma et al.
9570683 February 14, 2017 Jo
9589982 March 7, 2017 Cheng et al.
9595530 March 14, 2017 Zhou
9627287 April 18, 2017 Engelhardt et al.
9673257 June 6, 2017 Takaki
9997530 June 12, 2018 Yon et al.
10199354 February 5, 2019 Modi et al.
11830757 November 28, 2023 Or-Bach
20010000005 March 15, 2001 Forrest et al.
20010014391 August 16, 2001 Forrest et al.
20010028059 October 11, 2001 Emma et al.
20020024140 February 28, 2002 Nakajima et al.
20020025604 February 28, 2002 Tiwari
20020074668 June 20, 2002 Hofstee et al.
20020081823 June 27, 2002 Cheung et al.
20020090758 July 11, 2002 Henley et al.
20020096681 July 25, 2002 Yamazaki et al.
20020113289 August 22, 2002 Cordes et al.
20020132465 September 19, 2002 Leedy
20020140091 October 3, 2002 Callahan
20020141233 October 3, 2002 Hosotani et al.
20020153243 October 24, 2002 Forrest et al.
20020153569 October 24, 2002 Katayama
20020175401 November 28, 2002 Huang et al.
20020180069 December 5, 2002 Houston
20020190232 December 19, 2002 Chason
20020199110 December 26, 2002 Kean
20030015713 January 23, 2003 Yoo
20030032262 February 13, 2003 Dennison et al.
20030059999 March 27, 2003 Gonzalez
20030060034 March 27, 2003 Beyne et al.
20030061555 March 27, 2003 Kamei
20030067043 April 10, 2003 Zhang
20030076706 April 24, 2003 Andoh
20030102079 June 5, 2003 Kalvesten et al.
20030107117 June 12, 2003 Antonelli et al.
20030113963 June 19, 2003 Wurzer
20030119279 June 26, 2003 Enquist
20030139011 July 24, 2003 Cleeves et al.
20030153163 August 14, 2003 Letertre
20030157748 August 21, 2003 Kim et al.
20030160888 August 28, 2003 Yoshikawa
20030173631 September 18, 2003 Murakami
20030206036 November 6, 2003 Or-Bach
20030213967 November 20, 2003 Forrest et al.
20030224582 December 4, 2003 Shimoda et al.
20030224596 December 4, 2003 Marxsen et al.
20040007376 January 15, 2004 Urdahl et al.
20040014299 January 22, 2004 Moriceau et al.
20040033676 February 19, 2004 Coronel et al.
20040036126 February 26, 2004 Chau et al.
20040047539 March 11, 2004 Okubora et al.
20040061176 April 1, 2004 Takafuji et al.
20040113207 June 17, 2004 Hsu et al.
20040143797 July 22, 2004 Nguyen
20040150068 August 5, 2004 Leedy
20040150070 August 5, 2004 Okada
20040152272 August 5, 2004 Fladre et al.
20040155301 August 12, 2004 Zhang
20040156172 August 12, 2004 Lin et al.
20040156233 August 12, 2004 Bhattacharyya
20040164425 August 26, 2004 Urakawa
20040166649 August 26, 2004 Bressot et al.
20040174732 September 9, 2004 Morimoto
20040175902 September 9, 2004 Rayssac et al.
20040178819 September 16, 2004 New
20040195572 October 7, 2004 Kato et al.
20040219765 November 4, 2004 Reif et al.
20040229444 November 18, 2004 Couillard
20040259312 December 23, 2004 Schlosser et al.
20040262635 December 30, 2004 Lee
20040262772 December 30, 2004 Ramanathan et al.
20050003592 January 6, 2005 Jones
20050010725 January 13, 2005 Eilert
20050023656 February 3, 2005 Leedy
20050045919 March 3, 2005 Kaeriyama et al.
20050067620 March 31, 2005 Chan et al.
20050067625 March 31, 2005 Hata
20050073060 April 7, 2005 Datta et al.
20050082526 April 21, 2005 Bedell et al.
20050098822 May 12, 2005 Mathew
20050110041 May 26, 2005 Boutros et al.
20050121676 June 9, 2005 Fried et al.
20050121789 June 9, 2005 Madurawe
20050130351 June 16, 2005 Leedy
20050130429 June 16, 2005 Rayssac et al.
20050148137 July 7, 2005 Brask et al.
20050176174 August 11, 2005 Leedy
20050218521 October 6, 2005 Lee
20050225237 October 13, 2005 Winters
20050266659 December 1, 2005 Ghyselen et al.
20050273749 December 8, 2005 Kirk
20050280061 December 22, 2005 Lee
20050280090 December 22, 2005 Anderson et al.
20050280154 December 22, 2005 Lee
20050280155 December 22, 2005 Lee
20050280156 December 22, 2005 Lee
20050282019 December 22, 2005 Fukushima et al.
20060014331 January 19, 2006 Tang et al.
20060024923 February 2, 2006 Sarma et al.
20060033110 February 16, 2006 Alam et al.
20060033124 February 16, 2006 Or-Bach et al.
20060043367 March 2, 2006 Chang et al.
20060049449 March 9, 2006 Iino
20060065953 March 30, 2006 Kim et al.
20060067122 March 30, 2006 Verhoeven
20060071322 April 6, 2006 Kitamura
20060071332 April 6, 2006 Speers
20060083280 April 20, 2006 Tauzin et al.
20060108613 May 25, 2006 Song
20060108627 May 25, 2006 Choi et al.
20060113522 June 1, 2006 Lee et al.
20060118935 June 8, 2006 Kamiyama et al.
20060121690 June 8, 2006 Pogge et al.
20060150137 July 6, 2006 Madurawe
20060158511 July 20, 2006 Harrold
20060170046 August 3, 2006 Hara
20060179417 August 10, 2006 Madurawe
20060181202 August 17, 2006 Liao et al.
20060189095 August 24, 2006 Ghyselen et al.
20060194401 August 31, 2006 Hu et al.
20060195729 August 31, 2006 Huppenthal et al.
20060207087 September 21, 2006 Jafri et al.
20060224814 October 5, 2006 Kim et al.
20060237777 October 26, 2006 Choi
20060249859 November 9, 2006 Eiles et al.
20060275962 December 7, 2006 Lee
20070004150 January 4, 2007 Huang
20070014508 January 18, 2007 Chen et al.
20070035329 February 15, 2007 Madurawe
20070063259 March 22, 2007 Derderian et al.
20070072391 March 29, 2007 Pocas et al.
20070076509 April 5, 2007 Zhang
20070077694 April 5, 2007 Lee
20070077743 April 5, 2007 Rao et al.
20070090416 April 26, 2007 Doyle et al.
20070102737 May 10, 2007 Kashiwabara et al.
20070103191 May 10, 2007 Sugawara et al.
20070108523 May 17, 2007 Ogawa et al.
20070109831 May 17, 2007 RaghuRam
20070111386 May 17, 2007 Kim et al.
20070111406 May 17, 2007 Joshi et al.
20070132049 June 14, 2007 Stipe
20070132369 June 14, 2007 Forrest et al.
20070135013 June 14, 2007 Faris
20070141781 June 21, 2007 Park
20070158659 July 12, 2007 Bensce
20070158831 July 12, 2007 Cha et al.
20070176214 August 2, 2007 Kwon et al.
20070187775 August 16, 2007 Okhonin et al.
20070190746 August 16, 2007 Ito et al.
20070194453 August 23, 2007 Chakraborty et al.
20070206408 September 6, 2007 Schwerin
20070210336 September 13, 2007 Madurawe
20070211535 September 13, 2007 Kim
20070215903 September 20, 2007 Sakamoto et al.
20070218622 September 20, 2007 Lee et al.
20070228383 October 4, 2007 Bernstein et al.
20070252201 November 1, 2007 Kito et al.
20070252203 November 1, 2007 Zhu et al.
20070262457 November 15, 2007 Lin
20070275520 November 29, 2007 Suzuki
20070281439 December 6, 2007 Bedell et al.
20070283298 December 6, 2007 Bernstein et al.
20070287224 December 13, 2007 Alam et al.
20070296073 December 27, 2007 Wu
20070297232 December 27, 2007 Iwata
20080001204 January 3, 2008 Lee
20080003818 January 3, 2008 Seidel et al.
20080030228 February 7, 2008 Amarilio
20080032463 February 7, 2008 Lee
20080038902 February 14, 2008 Lee
20080048239 February 28, 2008 Huo
20080048327 February 28, 2008 Lee
20080054359 March 6, 2008 Yang et al.
20080067573 March 20, 2008 Jang et al.
20080070340 March 20, 2008 Borrelli et al.
20080072182 March 20, 2008 He et al.
20080099780 May 1, 2008 Tran
20080099819 May 1, 2008 Kito et al.
20080108171 May 8, 2008 Rogers et al.
20080123418 May 29, 2008 Widjaja
20080124845 May 29, 2008 Yu et al.
20080128745 June 5, 2008 Mastro et al.
20080128780 June 5, 2008 Nishihara
20080135949 June 12, 2008 Lo et al.
20080136455 June 12, 2008 Diamant et al.
20080142937 June 19, 2008 Chen et al.
20080142959 June 19, 2008 DeMulder et al.
20080143379 June 19, 2008 Norman
20080150579 June 26, 2008 Madurawe
20080160431 July 3, 2008 Scott et al.
20080160726 July 3, 2008 Lim et al.
20080165521 July 10, 2008 Bernstein et al.
20080175032 July 24, 2008 Tanaka et al.
20080179678 July 31, 2008 Dyer et al.
20080180132 July 31, 2008 Ishikawa
20080185648 August 7, 2008 Jeong
20080191247 August 14, 2008 Yin et al.
20080191312 August 14, 2008 Oh et al.
20080194068 August 14, 2008 Temmler et al.
20080203452 August 28, 2008 Moon et al.
20080213982 September 4, 2008 Park et al.
20080220558 September 11, 2008 Zehavi et al.
20080220565 September 11, 2008 Hsu et al.
20080224260 September 18, 2008 Schmit et al.
20080237591 October 2, 2008 Leedy
20080239818 October 2, 2008 Mokhlesi
20080242028 October 2, 2008 Mokhlesi
20080248618 October 9, 2008 Ahn et al.
20080251862 October 16, 2008 Fonash et al.
20080254561 October 16, 2008 Yoo
20080254572 October 16, 2008 Leedy
20080254623 October 16, 2008 Chan
20080261378 October 23, 2008 Yao et al.
20080266960 October 30, 2008 Kuo
20080272492 November 6, 2008 Tsang
20080277778 November 13, 2008 Furman et al.
20080283873 November 20, 2008 Yang
20080283875 November 20, 2008 Mukasa et al.
20080284611 November 20, 2008 Leedy
20080296681 December 4, 2008 Georgakos et al.
20080315253 December 25, 2008 Yuan
20080315351 December 25, 2008 Kakehata
20090001469 January 1, 2009 Yoshida et al.
20090001504 January 1, 2009 Takei et al.
20090016716 January 15, 2009 Ishida
20090026541 January 29, 2009 Chung
20090026618 January 29, 2009 Kim
20090032899 February 5, 2009 Irie
20090032951 February 5, 2009 Andry et al.
20090039918 February 12, 2009 Madurawe
20090052827 February 26, 2009 Durfee et al.
20090055789 February 26, 2009 McIlrath
20090057879 March 5, 2009 Garrou et al.
20090061572 March 5, 2009 Hareland et al.
20090064058 March 5, 2009 McIlrath
20090065827 March 12, 2009 Hwang
20090066365 March 12, 2009 Solomon
20090066366 March 12, 2009 Solomon
20090070721 March 12, 2009 Solomon
20090070727 March 12, 2009 Solomon
20090078970 March 26, 2009 Yamazaki
20090079000 March 26, 2009 Yamazaki et al.
20090081848 March 26, 2009 Erokhin
20090087759 April 2, 2009 Matsumoto et al.
20090096009 April 16, 2009 Dong et al.
20090096024 April 16, 2009 Shingu et al.
20090108318 April 30, 2009 Yoon et al.
20090115042 May 7, 2009 Koyanagi
20090134397 May 28, 2009 Yokoi et al.
20090144669 June 4, 2009 Bose et al.
20090144678 June 4, 2009 Bose et al.
20090146172 June 11, 2009 Pumyea
20090159870 June 25, 2009 Lin et al.
20090160482 June 25, 2009 Karp et al.
20090161401 June 25, 2009 Bigler et al.
20090162993 June 25, 2009 Yui et al.
20090166627 July 2, 2009 Han
20090174018 July 9, 2009 Dungan
20090179268 July 16, 2009 Abou-Khalil et al.
20090185407 July 23, 2009 Park
20090194152 August 6, 2009 Liu et al.
20090194768 August 6, 2009 Leedy
20090194829 August 6, 2009 Chung
20090194836 August 6, 2009 Kim
20090204933 August 13, 2009 Rezgui
20090212317 August 27, 2009 Kolodin et al.
20090218627 September 3, 2009 Zhu
20090221110 September 3, 2009 Lee et al.
20090224330 September 10, 2009 Hong
20090224364 September 10, 2009 Oh et al.
20090230462 September 17, 2009 Tanaka et al.
20090234331 September 17, 2009 Langereis et al.
20090236749 September 24, 2009 Otemba et al.
20090242893 October 1, 2009 Tomiyasu
20090242935 October 1, 2009 Fitzgerald
20090250686 October 8, 2009 Sato et al.
20090262572 October 22, 2009 Krusin-Elbaum
20090262583 October 22, 2009 Lue
20090263942 October 22, 2009 Ohnuma et al.
20090267233 October 29, 2009 Lee
20090268983 October 29, 2009 Stone et al.
20090272989 November 5, 2009 Shum et al.
20090290434 November 26, 2009 Kurjanowicz
20090294822 December 3, 2009 Batude et al.
20090294836 December 3, 2009 Kiyotoshi
20090294861 December 3, 2009 Thomas et al.
20090294990 December 3, 2009 Ishino et al.
20090302294 December 10, 2009 Kim
20090302387 December 10, 2009 Joshi et al.
20090302394 December 10, 2009 Fujita
20090309152 December 17, 2009 Knoefler et al.
20090315095 December 24, 2009 Kim
20090317950 December 24, 2009 Okihara
20090321830 December 31, 2009 Maly
20090321853 December 31, 2009 Cheng
20090321948 December 31, 2009 Wang et al.
20090325343 December 31, 2009 Lee
20100001282 January 7, 2010 Mieno
20100013049 January 21, 2010 Tanaka
20100025766 February 4, 2010 Nuttinck et al.
20100025825 February 4, 2010 DeGraw et al.
20100031217 February 4, 2010 Sinha et al.
20100032635 February 11, 2010 Schwerin
20100038699 February 18, 2010 Katsumata et al.
20100038743 February 18, 2010 Lee
20100045849 February 25, 2010 Yamasaki
20100052134 March 4, 2010 Werner et al.
20100058580 March 11, 2010 Yazdani
20100059796 March 11, 2010 Scheuerlein
20100059864 March 11, 2010 Mahler et al.
20100078770 April 1, 2010 Purushothaman et al.
20100081232 April 1, 2010 Furman et al.
20100089627 April 15, 2010 Huang et al.
20100090188 April 15, 2010 Fatasuyama
20100112753 May 6, 2010 Lee
20100112810 May 6, 2010 Lee et al.
20100117048 May 13, 2010 Lung et al.
20100123202 May 20, 2010 Hofmann
20100123480 May 20, 2010 Kitada et al.
20100133695 June 3, 2010 Lee
20100133704 June 3, 2010 Marimuthu et al.
20100137143 June 3, 2010 Rothberg et al.
20100139836 June 10, 2010 Horikoshi
20100140790 June 10, 2010 Setiadi et al.
20100155932 June 24, 2010 Gambino
20100157117 June 24, 2010 Wang
20100159650 June 24, 2010 Song
20100181600 July 22, 2010 Law
20100190334 July 29, 2010 Lee
20100193884 August 5, 2010 Park et al.
20100193964 August 5, 2010 Farooq et al.
20100219392 September 2, 2010 Awaya
20100221867 September 2, 2010 Bedell et al.
20100224876 September 9, 2010 Zhu
20100224915 September 9, 2010 Kawashima et al.
20100225002 September 9, 2010 Law et al.
20100232200 September 16, 2010 Shepard
20100252934 October 7, 2010 Law
20100264551 October 21, 2010 Farooq
20100276662 November 4, 2010 Colinge
20100289144 November 18, 2010 Farooq
20100297844 November 25, 2010 Yelehanka
20100307572 December 9, 2010 Bedell et al.
20100308211 December 9, 2010 Cho et al.
20100308863 December 9, 2010 Gliese et al.
20100320514 December 23, 2010 Tredwell
20100320526 December 23, 2010 Kidoh et al.
20100330728 December 30, 2010 McCarten
20100330752 December 30, 2010 Jeong
20110001172 January 6, 2011 Lee
20110003438 January 6, 2011 Lee
20110024724 February 3, 2011 Frolov et al.
20110026263 February 3, 2011 Xu
20110027967 February 3, 2011 Beyne
20110037052 February 17, 2011 Schmidt et al.
20110042696 February 24, 2011 Smith et al.
20110049336 March 3, 2011 Matsunuma
20110050125 March 3, 2011 Medendorp et al.
20110053332 March 3, 2011 Lee
20110101537 May 5, 2011 Barth et al.
20110102014 May 5, 2011 Madurawe
20110111560 May 12, 2011 Purushothaman
20110115023 May 19, 2011 Cheng
20110128777 June 2, 2011 Yamazaki
20110134683 June 9, 2011 Yamazaki
20110143506 June 16, 2011 Lee
20110147791 June 23, 2011 Norman et al.
20110147849 June 23, 2011 Augendre et al.
20110159635 June 30, 2011 Doan et al.
20110170331 July 14, 2011 Oh
20110204917 August 25, 2011 O'Neill
20110221022 September 15, 2011 Toda
20110222356 September 15, 2011 Banna
20110227158 September 22, 2011 Zhu
20110241082 October 6, 2011 Bernstein et al.
20110284946 November 24, 2011 Kiyotoshi
20110284992 November 24, 2011 Zhu
20110286283 November 24, 2011 Lung et al.
20110304765 December 15, 2011 Yogo et al.
20110309432 December 22, 2011 Ishihara et al.
20110314437 December 22, 2011 McIlrath
20120001184 January 5, 2012 Ha et al.
20120003815 January 5, 2012 Lee
20120013013 January 19, 2012 Sadaka et al.
20120025388 February 2, 2012 Law et al.
20120032250 February 9, 2012 Son et al.
20120034759 February 9, 2012 Sakaguchi et al.
20120063090 March 15, 2012 Hsiao et al.
20120074466 March 29, 2012 Setiadi et al.
20120086100 April 12, 2012 Andry
20120126197 May 24, 2012 Chung
20120146193 June 14, 2012 Stuber et al.
20120161310 June 28, 2012 Brindle et al.
20120169319 July 5, 2012 Dennard
20120178211 July 12, 2012 Hebert
20120181654 July 19, 2012 Lue
20120182801 July 19, 2012 Lue
20120187444 July 26, 2012 Oh
20120193785 August 2, 2012 Lin
20120241919 September 27, 2012 Mitani
20120286822 November 15, 2012 Madurawe
20120304142 November 29, 2012 Morimoto
20120317528 December 13, 2012 McIlrath
20120319728 December 20, 2012 Madurawe
20130026663 January 31, 2013 Radu et al.
20130037802 February 14, 2013 England
20130049796 February 28, 2013 Pang
20130070506 March 21, 2013 Kajigaya
20130082235 April 4, 2013 Gu et al.
20130097574 April 18, 2013 Balabanov et al.
20130100743 April 25, 2013 Lue
20130128666 May 23, 2013 Avila
20130187720 July 25, 2013 Ishii
20130193550 August 1, 2013 Sklenard et al.
20130196500 August 1, 2013 Batude et al.
20130203248 August 8, 2013 Ernst et al.
20130207243 August 15, 2013 Fuergut
20130263393 October 10, 2013 Mazumder
20130337601 December 19, 2013 Kapur
20140015136 January 16, 2014 Gan et al.
20140030871 January 30, 2014 Arriagada et al.
20140035616 February 6, 2014 Oda et al.
20140048867 February 20, 2014 Toh
20140099761 April 10, 2014 Kim et al.
20140103959 April 17, 2014 Andreev
20140117413 May 1, 2014 Madurawe
20140120695 May 1, 2014 Ohtsuki
20140131885 May 15, 2014 Samadi et al.
20140137061 May 15, 2014 McIlrath
20140145347 May 29, 2014 Samadi et al.
20140146630 May 29, 2014 Xie et al.
20140149958 May 29, 2014 Samadi et al.
20140151774 June 5, 2014 Rhie
20140191357 July 10, 2014 Lee
20140225218 August 14, 2014 Du
20140225235 August 14, 2014 Du
20140252306 September 11, 2014 Du
20140253196 September 11, 2014 Du et al.
20140264228 September 18, 2014 Toh
20140357054 December 4, 2014 Son et al.
20150021785 January 22, 2015 Lin
20150034898 February 5, 2015 Wang
20150243887 August 27, 2015 Saitoh
20150255418 September 10, 2015 Gowda
20150279829 October 1, 2015 Kuo
20150340369 November 26, 2015 Lue
20160049201 February 18, 2016 Lue
20160104780 April 14, 2016 Mauder
20160133603 May 12, 2016 Ahn
20160141299 May 19, 2016 Hong
20160141334 May 19, 2016 Takaki
20160307952 October 20, 2016 Huang
20160343687 November 24, 2016 Vadhavkar
20170069601 March 9, 2017 Park
20170092371 March 30, 2017 Harari
20170098596 April 6, 2017 Lin
20170148517 May 25, 2017 Harari
20170179146 June 22, 2017 Park
20170221900 August 3, 2017 Widjaja
20170278858 September 28, 2017 Walker et al.
20180090219 March 29, 2018 Harari
20180090368 March 29, 2018 Kim
20180108416 April 19, 2018 Harari
20180294284 October 11, 2018 Tarakji
20190006009 January 3, 2019 Harari
20190043836 February 7, 2019 Fastow et al.
20190067327 February 28, 2019 Herner et al.
20190157296 May 23, 2019 Harari et al.
20200020408 January 16, 2020 Norman
20200020718 January 16, 2020 Harari et al.
20200051990 February 13, 2020 Harari et al.
20200105773 April 2, 2020 Morris et al.
20200227123 July 16, 2020 Salahuddin et al.
20200243486 July 30, 2020 Quader et al.
20230170244 June 1, 2023 Or-Bach
20230275111 August 31, 2023 Or-Bach
20230307283 September 28, 2023 Or-Bach
20230343632 October 26, 2023 Or-Bach
20230352333 November 2, 2023 Or-Bach
20230378339 November 23, 2023 Or-Bach
20230395572 December 7, 2023 Or-Bach
Foreign Patent Documents
1267594 December 2002 EP
PCT/US2008/063483 May 2008 WO
Other references
  • Colinge, J. P., et al., “Nanowire transistors without Junctions”, Nature Nanotechnology, Feb. 21, 2010, pp. 1-5.
  • Kim, J.Y., et al., “The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor (RCAT) for 88 nm feature size and beyond,” 2003 Symposium on VLSI Technology Digest of Technical Papers, pp. 11-12, Jun. 10-12, 2003.
  • Kim, J.Y., et al., “The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond,” 2005 IEEE VLSI-TSA International Symposium, pp. 33-34, Apr. 25-27, 2005.
  • Abramovici, Breuer and Friedman, Digital Systems Testing and Testable Design, Computer Science Press, 1990, pp. 432-447.
  • Yonehara, T., et al., “ELTRAN: SOI-Epi Wafer by Epitaxial Layer transfer from porous Silicon”, the 198th Electrochemical Society Meeting, abstract No. 438 (2000).
  • Yonehara, T. et al., “Eltran®, Novel SOI Wafer Technology,” JSAP International, Jul. 2001, pp. 10-16, No. 4.
  • Suk, S. D., et al., “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720.
  • Bangsaruntip, S., et al., “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, pp. 297-300, Dec. 7-9, 2009.
  • Burr, G. W., et al., “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, No. 4.5, pp. 449-464, Jul. 2008.
  • Bez, R., et al., “Introduction to Flash memory,” Proceedings IEEE, 91(4), 489-502 (2003).
  • Auth, C., et al., “45nm High-k + Metal Gate Strain-Enchanced Transistors,” Symposium on VLSI Technology Digest of Technical Papers, 2008, pp. 128-129.
  • Jan, C. H., et al., “A 32nm SoC Platform Technology with 2nd Generation High-k/Metal Gate Transistors Optimized for Ultra Low Power, High Performance, and High Density Product Applications,” IEEE International Electronic Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
  • Mistry, K., “A 45nm Logic Technology With High-K+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-Free Packaging,” Electron Devices Meeting, 2007, IEDM 2007, IEEE International, Dec. 10-12, 2007, p. 247.
  • Ragnarsson, L., et al., “Ultralow-EOT (5 A) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009.
  • Sen, P & Kim, C.J., “A Fast Liquid-Metal Droplet Microswitch Using EWOD-Driven Contact-Line Sliding”, Journal of Microelectromechanical Systems, vol. 18, No. 1, Feb. 2009, pp. 174-185.
  • Iwai, H., et.al., “NiSi Salicide Technology for Scaled CMOS,” Microelectronic Engineering, 60 (2002), pp. 157-169.
  • Froment, B., et.al., “Nickel vs. Cobalt Silicide integration for sub-50nm CMOS”, IMEC ESS Circuits, 2003. pp. 215-219.
  • James, D., “65 and 45-nm Devices—an Overview”, Semicon West, Jul. 2008, paper No. ctr_024377.
  • Davis, J.A., et.al., “Interconnect Limits on Gigascale Integration(GSI) in the 21st Century”, Proc. IEEE, vol. 89, No. 3, pp. 305-324, Mar. 2001.
  • Shino, T., et al., “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” Electron Devices Meeting, 2006, IEDM '06, International, pp. 1-4, Dec. 11-13, 2006.
  • Hamamoto, T., et al., “Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond”, Solid-State Electronics, vol. 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC'08, Jul. 2009, pp. 676-683.
  • Okhonin, S., et al., “New Generation of Z-RAM”, Electron Devices Meeting, 2007. IEDM 2007. IEEE International, pp. 925-928, Dec. 10-12, 2007.
  • Henttinen, K. et al., “Mechanically Induced Si Layer Transfer in Hydrogen-Implanted Si Wafers,” Applied Physics Letters, Apr. 24, 2000, p. 2370-2372, vol. 76, No. 17.
  • Lee, C.-W., et al., “Junctionless multigate field-effect transistor,” Applied Physics Letters, vol. 94, pp. 053511-1 to -2, 2009.
  • Park, S. G., et al., “Implementation of HfSiON gate dielectric for sub-60nm DRAM dual gate oxide with recess channel array transistor (RCAT) and tungsten gate,” International Electron Devices Meeting, IEDM 2004, pp. 515-518, Dec. 13-15, 2004.
  • Kim, J.Y., et al., “S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond,” 2005 Symposium on VLSI Technology Digest of Technical Papers, 2005 pp. 34-35, Jun. 14-16, 2005.
  • Oh, H.J., et al., “High-density low-power-operating DRAM device adopting 6F2 cell scheme with novel S-RCAT structure on 80nm feature size and beyond,” Solid-State Device Research Conference, ESSDERC 2005. Proceedings of 35th European , pp. 177-180, Sep. 12-16, 2005.
  • Chung, S.-W., et al., “Highly Scalable Saddle-Fin (S-Fin) Transistor for Sub-50nm DRAM Technology,” 2006 Symposium on VLSI Technology Digest of Technical Papers, pp. 32-33.
  • Lee, M. J., et al., “A Proposal on an Optimized Device Structure With Experimental Studies on Recent Devices for the DRAM Cell Transistor,” IEEE Transactions on Electron Devices, vol. 54, No. 12, pp. 3325-3335, Dec. 2007.
  • Henttinen, K. et al., “Cold ion-cutting of hydrogen implanted Si,” J. Nucl. Instr. and Meth. in Phys. Res. B, 2002, pp. 761-766, vol. 190.
  • Brumfiel, G., “Solar cells sliced and diced”, May 19, 2010, Nature News.
  • Dragoi, et al., “Plasma-activated wafer bonding: the new low-temperature tool for MEMS fabrication”, Proc. SPIE, vol. 6589, 65890T (2007).
  • Vengurlekar, A., et al., “Mechanism of Dopant Activation Enhancement in Shallow Junctions by Hydrogen”, Proceedings of the Materials Research Society, vol. 864, Spring 2005, E9.28.1-6.
  • Yamada, M. et al., “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well,” Japanese Journal of Applied Physics, 2002, pp. L246-L248, vol. 41.
  • Guo, X. et al., “Cascade single-chip phosphor-free white light emitting diodes,” Applied Physics Letters, 2008, pp. 013507-1-013507-3, vol. 92.
  • Takafuji, Y. et al., “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate,” IEEE International Electron Devices Meeting (IEDM), Dec. 7-9, 2009, pp. 1-4.
  • Wierer, J.J. et al., “High-power AlGaInN flip-chip light-emitting diodes,” Applied Physics Letters, May 28, 2001, pp. 3379-3381, vol. 78, No. 22.
  • El-Gamal, A., “Trends in CMOS Image Sensor Technology and Design,” International Electron Devices Meeting Digest of Technical Papers, Dec. 2002.
  • Ahn, S.W., “Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography,” Nanotechnology, 2005, pp. 1874-1877, vol. 16, No. 9.
  • Johnson, R.C., “Switching LEDs on and off to enlighten wireless communications,” EE Times, Jun. 2010, last accessed Oct. 11, 2010, <http://www.embeddedinternetdesign.com/design/225402094>.
  • Ohsawa, et al., “Autonomous Refresh of Floating Body Cell (FBC)”, International Electron Device Meeting, 2008, pp. 801-804.
  • Chen, P., et al., “Effects of Hydrogen Implantation Damage on the Performance of InP/InGaAs/InP p-i-n Photodiodes, Transferred on Silicon,” Applied Physics Letters, vol. 94, No. 1, Jan. 2009, pp. 012101-1 to 012101-3.
  • Lee, D., et al., “Single-Crystalline Silicon Micromirrors Actuated by Self-Aligned Vertical Electrostatic Combdrives with Piston-Motion and Rotation Capability,” Sensors and Actuators A114, 2004, pp. 423-428.
  • Shi, X., et al., “Characterization of Low-Temperature Processed Single-Crystalline Silicon Thin-Film Transistor on Glass,” IEEE Electron Device Letters, vol. 24, No. 9, Sep. 2003, pp. 574-576.
  • Chen, W., et al., “InP Layer Transfer with Masked Implantation,” Electrochemical and Solid-State Letters, Issue 12, No. 4, Apr. 2009, H149-150.
  • Feng, J., et al., “Integration of Germanium-on-Insulator and Silicon MOSFETs on a Silicon Substrate,” IEEE Electron Device Letters, vol. 27, No. 11, Nov. 2006, pp. 911-913.
  • Zhang, S., et al., “Stacked CMOS Technology on SOI Substrate,” IEEE Electron Device Letters, vol. 25, No. 9, Sep. 2004, pp. 661-663.
  • Brebner, G., “Tooling up for Reconfigurable System Design,” IEE Colloquium on Reconfigurable Systems, 1999, Ref. No. 1999/061, pp. 2/1-2/4.
  • Bae, Y.-D., “A Single-Chip Programmable Platform Based on a Multithreaded Processor and Configurable Logic Clusters,” 2002 IEEE International Solid-State Circuits Conference, Feb. 3-7, 2002, Digest of Technical Papers, ISSCC, vol. 1, pp. 336-337.
  • Lu, N.C.C., et al., “A Buried-Trench DRAM Cell Using a Self-aligned Epitaxy Over Trench Technology,” Electron Devices Meeting, IEDM '88 Technical Digest, International, 1988, pp. 588-591.
  • Valsamakis, E.A., “Generator for a Custom Statistical Bipolar Transistor Model,” IEEE Journal of Solid-State Circuits, Apr. 1985, pp. 586-589, vol. SC-20, No. 2.
  • Srivastava, P. et al., “Silicon Substrate Removal of GaN DHFETs for enhanced (>1100V) Breakdown Voltage,” Aug. 2010, IEEE Electron Device Letters, vol. 31, No. 8, pp. 851-852.
  • Gosele, U., et al., “Semiconductor Wafer Bonding,” Annual Review of Materials Science, Aug. 1998, pp. 215-241, vol. 28.
  • Spangler, L.J. et al., “A Technology for High Performance Single-Crystal Silicon-on-Insulator Transistors,” IEEE Electron Device Letters, Apr. 1987, pp. 137-139, vol. 8, No. 4.
  • Larrieu, G., et al., “Low Temperature Implementation of Dopant-Segregated Band-edger Metallic S/D junctions in Thin-Body SOI p-MOSFETs”, Proceedings IEDM, 2007, pp. 147-150.
  • Qui, Z., et al., “A Comparative Study of Two Different Schemes to Dopant Segregation at NiSi/Si and PtSi/Si Interfaces for Schottky Barrier Height Lowering”, IEEE Transactions on Electron Devices, vol. 55, No. 1, Jan. 2008, pp. 396-403.
  • Khater, M.H., et al., “High-k/Metal-Gate Fully Depleted SOI CMOS With Single-Silicide Schottky Source/Drain With Sub-30-nm Gate Length”, IEEE Electron Device Letters, vol. 31, No. 4, Apr. 2010, pp. 275-277.
  • Abramovici, M., “In-system silicon validation and debug”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 216-223.
  • Saxena, P., et al., “Repeater Scaling and Its Impact on CAD”, IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems, vol. 23, No. 4, Apr. 2004.
  • Abrmovici, M., et al., A reconfigurable design-for-debug infrastructure for SoCs, (2006) Proceedings—Design Automation Conference, pp. 7-12.
  • Anis, E., et al., “Low cost debug architecture using lossy compression for silicon debug”, (2007) Proceedings of the IEEE/ACM Design, pp. 225-230.
  • Anis, E., et al., “On using lossless compression of debug data in embedded logic analysis”, (2007) Proceedings of the IEEE International Test Conference, paper 18.3, pp. 1-10.
  • Boule, M., et al., “Adding debug enhancements to assertion checkers for hardware emulation and silicon debug”, (2006) Proceedings of the IEEE International Conference on Computer Design, pp. 294-299.
  • Boule, M., et al., “Assertion checkers in verification, silicon debug and in-field diagnosis”, (2007) Proceedings—Eighth International Symposium on Quality Electronic Design, ISQED 2007, pp. 613-618.
  • Burtscher, M., et al., “The VPC trace-compression algorithms”, (2005) IEEE Transactions on Computers, 54(11), Nov. 2005, pp. 1329-1344.
  • Frieden, B., “Trace port on powerPC 405 cores”, (2007) Electronic Product Design, 28 (6), pp. 12-14.
  • Hopkins, A.B.T., et al., “Debug support for complex systems on-chip: A review”, (2006) IEEE Proceedings: Computers and Digital Techniques, 153 (4), Jul. 2006, pp. 197-207.
  • Hsu, Y.-C., et al., “Visibility enhancement for silicon debug”, (2006) Proceedings—Design Automation Conference, Jul. 24-28, 2006, San Francisco, pp. 13-18.
  • Josephson, D., et al., “The crazy mixed up world of silicon debug”, (2004) Proceedings of the Custom Integrated Circuits Conference, paper 30-1, pp. 665-670.
  • Josephson, D.D., “The manic depression of microprocessor debug”, (2002) IEEE International Test Conference (TC), paper 23.4, pp. 657-663.
  • Ko, H.F., et al., “Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (2), pp. 285-297.
  • Ko, H.F., et al., “Distributed embedded logic analysis for post-silicon validation of SOCs”, (2008) Proceedings of the IEEE International Test Conference, paper 16.3, pp. 755-763.
  • Ko, H.F., et al., “Functional scan chain design at RTL for skewed-load delay fault testing”, (2004) Proceedings of the Asian Test Symposium, pp. 454-459.
  • Ko, H.F., et al., “Resource-efficient programmable trigger units for post-silicon validation”, (2009) Proceedings of the 14th IEEE European Test Symposium, ETS 2009, pp. 17-22.
  • Liu, X., et al., “On reusing test access mechanisms for debug data transfer in SoC post-silicon validation”, (2008) Proceedings of the Asian Test Symposium, pp. 303-308.
  • Liu, X., et al., “Trace signal selection for visibility enhancement in post-silicon validation”, (2009) Proceedings DATE, pp. 1338-1343.
  • McLaughlin, R., et al., “Automated debug of speed path failures using functional tests”, (2009) Proceedings of the IEEE VLSI Test Symposium, pp. 91-96.
  • Morris, K., “On-Chip Debugging—Built-in Logic Analyzers on your FPGA”, (2004) Journal of FPGA and Structured ASIC, 2 (3).
  • Nicolici, N., et al., “Design-for-debug for post-silicon validation: Can high-level descriptions help?”, (2009) Proceedings—IEEE International High-Level Design Validation and Test Workshop, HLDVT, pp. 172-175.
  • Park, S.-B., et al., “IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization”, (2008) Design Automation Conference (DAC08), Jun. 8-13, 2008, Anaheim, CA, USA, pp. 373-378.
  • Park, S.-B., et al., “Post-silicon bug localization in processors using instruction footprint recording and analysis (IFRA)”, (2009) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (10), pp. 1545-1558.
  • Moore, B., et al., “High Throughput Non-contact SiP Testing”, (2007) Proceedings—International Test Conference, paper 12.3.
  • Riley, M.W., et al., “Cell broadband engine debugging for unknown events”, (2007) IEEE Design and Test of Computers, 24 (5), pp. 486-493.
  • Vermeulen, B., “Functional debug techniques for embedded systems”, (2008) IEEE Design and Test of Computers, 25 (3), pp. 208-215.
  • Vermeulen, B., et al., “Automatic Generation of Breakpoint Hardware for Silicon Debug”, Proceeding of the 41st Design Automation Conference, Jun. 7-11, 2004, p. 514-517.
  • Vermeulen, B., et al., “Design for debug: Catching design errors in digital chips”, (2002) IEEE Design and Test of Computers, 19 (3), pp. 37-45.
  • Vermeulen, B., et al., “Core-based scan architecture for silicon debug”, (2002) IEEE International Test Conference (TC), pp. 638-647.
  • Vanrootselaar, G. J., et al., “Silicon debug: scan chains alone are not enough”, (1999) IEEE International Test Conference (TC), pp. 892-902.
  • Kim, G.-S., et al., “A 25-mV-sensitivity 2-GB/s optimum-logic-threshold capacitive-coupling receiver for wireless wafer probing systems”, (2009) IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (9), pp. 709-713.
  • Sellathamby, C.V., et al., “Non-contact wafer probe using wireless probe cards”, (2005) Proceedings—International Test Conference, 2005, pp. 447-452.
  • Jung, S.-M., et al., “Soft Error Immune 0.46pm2 SRAM Cell with MIM Node Capacitor by 65nm CMOS Technology for Ultra High Speed SRAM”, IEDM 2003, pp. 289-292.
  • Brillouet, M., “Emerging Technologies on Silicon”, IEDM 2004, pp. 17-24.
  • Meindl, J. D., “Beyond Moore's Law: The Interconnect Era”, IEEE Computing in Science & Engineering, Jan./Feb. 2003, pp. 20-24.
  • Lin, X., et al., “Local Clustering 3-D Stacked CMOS Technology for Interconnect Loading Reduction”, IEEE Transactions on electron Devices, vol. 53, No. 6, Jun. 2006, pp. 1405-1410.
  • He, T., et al., “Controllable Molecular Modulation of Conductivity in Silicon-Based Devices”, J. Am. Chem. Soc. 2009, 131, 10023-10030.
  • Henley, F., “Engineered Substrates Using the Nanocleave Process”, SemiconWest, TechXPOT Conference—Challenges in Device Scaling, Jul. 19, 2006, San Francisco.
  • Diamant, G., et al., “Integrated Circuits based on Nanoscale Vacuum Phototubes”, Applied Physics Letters 92, 262903-1 to 262903-3 (2008).
  • Landesberger, C., et al., “Carrier techniques for thin wafer processing”, CS MANTECH Conference, May 14-17, 2007 Austin, Texas, pp. 33-36.
  • Shen, W., et al., “Mercury Droplet Micro switch for Re-configurable Circuit Interconnect”, The 12th International Conference on Solid State Sensors, Actuators and Microsystems. Boston, Jun. 8-12, 2003, pp. 464-467.
  • Bangsaruntip, S., et al., “Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3 nm”, 2010 Symposium on VLSI Technology Digest of papers, pp. 21-22.
  • Borland, J.O., “Low Temperature Activation Of Ion Implanted Dopants: A Review”, International Workshop on Junction technology 2002, S7-3, Japan Society of Applied Physics, pp. 85-88.
  • Vengurlekar, A., et al., “Hydrogen Plasma Enhancement of Boron Activation in Shallow Junctions”, Applied Physics Letters, vol. 85, No. 18, Nov. 1, 2004, pp. 4052-4054.
  • El-Maleh, A. H., et al., “Transistor-Level Defect Tolerant Digital System Design at the Nanoscale”, Research Proposal Submitted to Internal Track Research Grant Programs, 2007. Internal Track Research Grant Programs.
  • Austin, T., et al., “Reliable Systems on Unreliable Fabrics”, IEEE Design & Test of Computers, Jul./Aug. 2008, vol. 25, issue 4, pp. 322-332.
  • Borkar, S., “Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation”, IEEE Micro, IEEE Computer Society, Nov.-Dec. 2005, pp. 10-16.
  • Zhu, S., et al., “N-Type Schottky Barrier Source/Drain MOSFET Using Ytterbium Silicide”, IEEE Electron Device Letters, vol. 25, No. 8, Aug. 2004, pp. 565-567.
  • Zhang, Z., et al., “Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering With Silicides as Diffusion Sources,” IEEE Electron Device Letters, vol. 31, No. 7, Jul. 2010, pp. 731-733.
  • Lee, R. T.P., et al., “Novel Epitaxial Nickel Aluminide-Silicide with Low Schottky-Barrier and Series Resistance for Enhanced Performance of Dopant-Segregated Source/Drain N-channel MuGFETs”, 2007 Symposium on VLSI Technology Digest of Technical Papers, pp. 108-109.
  • Awano, M., et al., “Advanced DSS MOSFET Technology for Ultrahigh Performance Applications”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 24-25.
  • Choi, S.-J., et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOS Devices”, 2009 Symposium of VLSI Technology Digest, pp. 222-223.
  • Zhang, M., et al., “Schottky barrier height modulation using dopant segregation in Schottky-barrier SOI-MOSFETs”, Proceeding of ESSDERC, Grenoble, France, 2005, pp. 457-460.
  • Larrieu, G., et al., “Arsenic-Segregated Rare-Earth Silicide Junctions: Reduction of Schottky Barrier and Integration in Metallic n-MOSFETs on SOI”, IEEE Electron Device Letters, vol. 30, No. 12, Dec. 2009, pp. 1266-1268.
  • Ko, C.H., et al., “NiSi Schottky Barrier Process-Strained Si (SB-PSS) CMOS Technology for High Performance Applications”, 2006 Symposium on VLSI Technology Digest of Technical Papers.
  • Kinoshita, A., et al., “Solution for High-Performance Schottky-Source/Drain MOSFETs: Schottky Barrier Height Engineering with Dopant Segregation Technique”, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp. 168-169.
  • Kinoshita, A., et al., “High-performance 50-nm-Gate-Length Schottky-Source/Drain MOSFETs with Dopant-Segregation Junctions”, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
  • Kaneko, A., et al., “High-Performance FinFET with Dopant-Segregated Schottky Source/Drain”, IEDM 2006.
  • Kinoshita, A., et al., “Ultra Low Voltage Operations in Bulk CMOS Logic Circuits with Dopant Segregated Schottky Source/Drain Transistors”, IEDM 2006.
  • Kinoshita, A., et al., “Comprehensive Study on Injection Velocity Enhancement in Dopant-Segregated Schottky MOSFETs”, IEDM 2006.
  • Choi, S.-J., et al., “High Speed Flash Memory and 1T-DRAM on Dopant Segregated Schottky Barrier (DSSB) FinFET SONOS Device for Multi-functional SoC Applications”, 2008 IEDM, pp. 223-226.
  • Chin, Y.K., et al., “Excimer Laser-Annealed Dopant Segregated Schottky (ELA-DSS) Si Nanowire Gate-All- Around (GAA) pFET with Near Zero Effective Schottky Barrier Height (SBH)”, IEDM 2009, pp. 935-938.
  • Agoura Technologies white paper, “Wire Grid Polarizers: a New High Contrast Polarizer Technology for Liquid Crystal Displays”, 2008, pp. 1-12.
  • Unipixel Displays, Inc. white paper, “Time Multi-plexed Optical Shutter (TMOS) Displays”, Jun. 2007, pp. 1-49.
  • Azevedo, I. L., et al., “The Transition to Solid-State Lighting”, Proc. IEEE, vol. 97, No. 3, Mar. 2009, pp. 481-510.
  • Crawford, M.H., “LEDs for Solid-State Lighting: Performance Challenges and Recent Advances”, IEEE Journal of Selected Topics in Quantum Electronics, vol. 15, No. 4, Jul./Aug. 2009, pp. 1028-1040.
  • Tong, Q.-Y., et al., “A “smarter-cut” approach to low temperature silicon layer transfer”, Applied Physics Letters, vol. 72, No. 1, Jan. 5, 1998, pp. 49-51.
  • Tong, Q.-Y., et al., “Low Temperature Si Layer Splitting”, Proceedings 1997 IEEE International SOI Conference, Oct. 1997, pp. 126-127.
  • Nguyen, P., et al., “Systematic study of the splitting kinetic of H/He co-implanted substrate”, SOI Conference, 2003, pp. 132-134.
  • Ma, X., et al., “A high-quality SOI structure fabricated by low-temperature technology with B+/H+ co-implantation and plasma bonding”, Semiconductor Science and Technology, vol. 21, 2006, pp. 959-963.
  • Yu, C.Y., et al., “Low-temperature fabrication and characterization of Ge-on-insulator structures”, Applied Physics Letters, vol. 89, 101913-1 to 101913-2 (2006).
  • Li, Y. A., et al., “Surface Roughness of Hydrogen Ion Cut Low Temperature Bonded Thin Film Layers”, Japan Journal of Applied Physics, vol. 39 (2000), Part 1, No. 1, pp. 275-276.
  • Hoechbauer, T., et al., “Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers”, Nuclear Instruments and Methods in Physics Research B, vol. 216 (2004), pp. 257-263.
  • Aspar, B., et al., “Transfer of structured and patterned thin silicon films using the Smart-Cut process”, Electronics Letters, Oct. 10, 1996, vol. 32, No. 21, pp. 1985-1986.
  • Agarwal, A., et al., “Efficient production of silicon-on-insulator films by co-implantation of He+ with H+” Applied Physics Letters, vol. 72, No. 9, Mar. 1998, pp. 1086-1088.
  • Cook III, G. O., et al., “Overview of transient liquid phase and partial transient liquid phase bonding,” Journal of Material Science, vol. 46, 2011, pp. 5305-5323.
  • Moustris, G. P., et al., “Evolution of autonomous and semi-autonomous robotic surgical systems: a review of the literature,” International Journal of Medical Robotics and Computer Assisted Surgery, Wiley Online Library, 2011, DOI: 10.10002/rcs.408.
  • Subbarao, M., et al., “Depth from Defocus: A Spatial Domain Approach,” International Journal of Computer Vision, vol. 13, No. 3, pp. 271-294 (1994).
  • Subbarao, M., et al., “Focused Image Recovery from Two Defocused Images Recorded with Different Camera Settings,” IEEE Transactions on Image Processing, vol. 4, No. 12, Dec. 1995, pp. 1613-1628.
  • Guseynov, N. A., et al., “Ultrasonic Treatment Restores the Photoelectric Parameters of Silicon Solar Cells Degraded under the Action of 60Cobalt Gamma Radiation,” Technical Physics Letters, vol. 33, No. 1, pp. 18-21 (2007).
  • Gawlik, G., et al., “GaAs on Si: towards a low-temperature “smart-cut” technology”, Vacuum, vol. 70, pp. 103-107 (2003).
  • Weldon, M. K., et al., “Mechanism of Silicon Exfoliation Induced by Hydrogen/Helium Co-implantation,” Applied Physics Letters, vol. 73, No. 25, pp. 3721-3723 (1998).
  • Miller, D.A.B., “Optical interconnects to electronic chips,” Applied Optics, vol. 49, No. 25, Sep. 1, 2010, pp. F59-F70.
  • En, W. G., et al., “The Genesis Process: A New SOI wafer fabrication method”, Proceedings 1998 IEEE International SOI Conference, Oct. 1998, pp. 163-164.
  • Uchikoga, S., et al., “Low temperature poly-Si TFT-LCD by excimer laser anneal,” Thin Solid Films, vol. 383 (2001), pp. 19-24.
  • He, M., et al., “Large Polycrystalline Silicon Grains Prepared by Excimer Laser Crystallization of Sputtered Amorphous Silicon Film with Process Temperature at 100 C,” Japanese Journal of Applied Physics, vol. 46, No. 3B, 2007, pp. 1245-1249.
  • Kim, S.D., et al., “Advanced source/drain engineering for box-shaped ultra shallow junction formation using laser annealing and pre-amorphization implantation in sub-100-nm SOI CMOS,” IEEE Trans. Electron Devices, vol. 49, No. 10, pp. 1748-1754, Oct. 2002.
  • Ahn, J., et al., “High-quality MOSFET's with ultrathin LPCVD gate SiO2,” IEEE Electron Device Lett., vol. 13, No. 4, pp. 186-188, Apr. 1992.
  • Yang, M., et al., “High Performance CMOS Fabricated on Hybrid Substrate with Different Crystal Orientation,” Proceedings IEDM 2003.
  • Yin, H., et al., “Scalable 3-D finlike poly-Si TFT and its nonvolatile memory application,” IEEE Trans. Electron Devices, vol. 55, No. 2, pp. 578-584, Feb. 2008.
  • Kawaguchi, N., et al., “Pulsed Green-Laser Annealing for Single-Crystalline Silicon Film Transferred onto Silicon wafer and Non-alkaline Glass by Hydrogen-Induced Exfoliation,” Japanese Journal of Appl,ied Physics, vol. 46, No. 1, 2007, pp. 21-23.
  • Faynot, O. et al., “Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond,” Electron Devices Meeting (IEDM), 2010 IEEE International, vol. no., pp. 3.2.1, 3.2.4, Dec. 6-8, 2010.
  • Khakifirooz, A., “ETSOI Technology for 20nm and Beyond”, SOI Consortium Workshop: Fully Depleted SOI, Apr. 28, 2011, Hsinchu Taiwan.
  • Kim, I.-K., et al., “Advanced Integration Technology for a Highly Scalable SOI DRAM with SOC (Silicon-On-Capacitors)”, IEDM 1996, pp. 96-605-608, 22.5.4.
  • Lee, B.H., et al., “A Novel CMP Method for cost-effective Bonded SOI Wafer Fabrication,” Proceedings 1995 IEEE International SOI Conference, Oct. 1995, pp. 60-61.
  • Choi, Sung-Jin, et al., “Performance Breakthrough in NOR Flash Memory with Dopant-Segregated Schottky-Barrier (DSSB) SONOs Devices,” paper 11B-3, 2009 Symposium on VLSI Technology, Digest of Technical Papers, pp. 222-223.
  • Chang, Wei, et al., “Drain-induced Schottky barrier source-side hot carriers and its application to program local bits of nanowire charge-trapping memories,” Japanese Journal of Applied Physics 53, 094001 (2014) pp. 094001-1 to 094001-5.
  • Topol, A.W., et al., “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, Dec. 5, 2005, pp. 363-366.
  • Demeester, P. et al., “Epitaxial lift-off and its applications,” Semicond. Sci. Technol., 1993, pp. 1124-1135, vol. 8.
  • Yoon, J., et al., “GaAs Photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies”, Nature, vol. 465, May 20, 2010, pp. 329-334.
  • Bakir and Meindl, “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009, Chapter 13, pp. 389-419.
  • Tanaka, H., et al., “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on , vol. no., pp. 14-15, Jun. 12-14, 2007.
  • Lue, H.-T., et al., “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010, pp. 131-132.
  • Kim, W., et al., “Multi-layered Vertical Gate NAND Flash overcoming stacking limit for terabit density storage”, Symposium on VLSI Technology Digest of Technical Papers, 2009, pp. 188-189.
  • Dicioccio, L., et al., “Direct bonding for wafer level 3D integration”, ICICDT 2010, pp. 110-113.
  • Kim, W., et al., “Multi-Layered Vertical Gate NAND Flash Overcoming Stacking Limit for Terabit Density Storage,” Symposium on VLSI Technology, 2009, pp. 188-189.
  • Walker, A. J., “Sub-50nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, No. 11, pp. 2703-2710, Nov. 2009.
  • Hubert, A., et al., “A Stacked SONOS Technology, Up to 4 Levels and 6nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (ϕFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009, pp. 637-640.
  • Celler, G.K. et al., “Frontiers of silicon-on-insulator,” J. App. Phys., May 1, 2003, pp. 4955-4978, vol. 93, No. 9.
  • Rajendran, B., et al., “Electrical Integrity of MOS Devices in Laser Annealed 3D IC Structures”, proceedings VLSI Multi Level Interconnect Conference 2004, pp. 73-74.
  • Rajendran, B., “Sequential 3D IC Fabrication: Challenges and Prospects”, Proceedings of VLSI Multi Level Interconnect Conference 2006, pp. 57-64.
  • Jung, S.-M., et al., “The revolutionary and truly 3-dimensional 25F2 SRAM technology with the smallest S3 (stacked single-crystal Si) cell, 0.16um2, and SSTFT (stacked single-crystal thin film transistor) for ultra high density SRAM,” VLSI Technology, 2004. Digest of Technical Papers, pp. 228-229, Jun. 15-17, 2004.
  • Hui, K. N., et al., “Design of vertically-stacked polychromatic light-emitting diodes,” Optics Express, Jun. 8, 2009, pp. 9873-9878, vol. 17, No. 12.
  • Chuai, D. X., et al., “A Trichromatic Phosphor-Free White Light-Emitting Diode by Using Adhesive Bonding Scheme,” Proc. SPIE, 2009, vol. 7635.
  • Suntharalingam, V. et al., “Megapixel CMOS Image Sensor Fabricated in Three-Dimensional Integrated Circuit Technology,” Solid-State Circuits Conference, Digest of Technical Papers, ISSCC, Aug. 29, 2005, pp. 356-357, vol. 1.
  • Coudrain, P. et al., “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-Depleted SOI Transistors,” IEDM, 2008, pp. 1-4.
  • Flamand, G. et al., “Towards Highly Efficient 4-Terminal Mechanical Photovoltaic Stacks,” III-Vs Review, Sep.-Oct. 2006, pp. 24-27, vol. 19, Issue 7.
  • Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” Photovoltaic Specialists Conference, Conference Record of the Twenty-Ninth IEEE, May 19-24, 2002, pp. 1039-1042.
  • Sekar, D. C., et al., “A 3D-IC Technology with Integrated Microchannel Cooling”, Proc. Intl. Interconnect Technology Conference, 2008, pp. 13-15.
  • Brunschweiler, T., et al., “Forced Convective Interlayer Cooling in Vertically Integrated Packages,” Proc. Intersoc. Conference on Thermal Management (ITHERM), 2008, pp. 1114-1125.
  • Yu, H., et al., “Allocating Power Ground Vias in 3D ICs for Simultaneous Power and Thermal Integrity” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 14, No. 3, Article 41, May 2009, pp. 41.1-41.31.
  • Motoyoshi, M., “3D-IC Integration,” 3rd Stanford and Tohoku University Joint Open Workshop, Dec. 4, 2009, pp. 1-52.
  • Wong, S., et al., “Monolithic 3D Integrated Circuits,” VLSI Technology, Systems and Applications, 2007, International Symposium on VLSI-TSA 2007, pp. 1-4.
  • Batude, P., et al., “Advances in 3D CMOS Sequential Integration,” 2009 IEEE International Electron Devices Meeting (Baltimore, Maryland), Dec. 7-9, 2009, pp. 345-348.
  • Tan, C.S., et al., “Wafer Level 3-D ICs Process Technology,” ISBN-10: 0387765328, Springer, 1st Ed., Sep. 19, 2008, pp. v-xii, 34, 58, and 59.
  • Yoon, S.W. et al., “Fabrication and Packaging of Microbump Interconnections for 3D TSV,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, pp. 1-5.
  • Franzon, P.D. et al., “Design and CAD for 3D Integrated Circuits,” 45th ACM/IEEE Design, Automation Conference (DAC), Jun. 8-13, 2008, pp. 668-673.
  • Lajevardi, P., “Design of a 3-Dimension FPGA,” Thesis paper, University of British Columbia, Submitted to Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Jul. 2005, pp. 1-71.
  • Dong, C. et al., “Reconfigurable Circuit Design with Nanomaterials,” Design, Automation & Test in Europe Conference & Exhibition, Apr. 20-24, 2009, pp. 442-447.
  • Razavi, S.A., et al., “A Tileable Switch Module Architecture for Homogeneous 3D FPGAs,” IEEE International Conference on 3D System Integration (3DIC), Sep. 28-30, 2009, 4 pages.
  • Bakir M., et al., “3D Device-Stacking Technology for Memory,” Chptr. 13.4, pp. 407-410, in “Integrated Interconnect Technologies for 3D Nano Electronic Systems”, 2009, Artech House.
  • Weis, M. et al., “Stacked 3-Dimensional 6T SRAM Cell with Independent Double Gate Transistors,” IC Design and Technology, May 18-20, 2009.
  • Doucette, P., “Integrating Photonics: Hitachi, Oki Put LEDs on Silicon,” Solid State Technology, Jan. 2007, p. 22, vol. 50, No. 1.
  • Luo, Z.S. et al., “Enhancement of (In, Ga)N Light-emitting Diode Performance by Laser Liftoff and Transfer from Sapphire to Silicon,” Photonics Technology Letters, Oct. 2002, pp. 1400-1402, vol. 14, No. 10.
  • Zahler, J.M. et al., “Wafer Bonding and Layer Transfer Processes for High Efficiency Solar Cells,” NCPV and Solar Program Review Meeting, 2003, pp. 723-726.
  • Kada, M., “Updated results of R&D on functionally innovative 3D-integrated circuit (dream chip) technology in FY2009”, (2010) International Microsystems Packaging Assembly and Circuits Technology Conference, IMPACT 2010 and International 3D IC Conference, Proceedings.
  • Kada, M., “Development of functionally innovative 3D-integrated circuit (dream chip) technology / high-density 3D-integration technology for multifunctional devices”, (2009) IEEE International Conference on 3D System Integration, 3DIC 2009.
  • Marchal, P., et al., “3-D technology assessment: Path-finding the technology/design sweet-spot”, (2009) Proceedings of the IEEE, 97 (1), pp. 96-107.
  • Xie, Y., et al., “Design space exploration for 3D architectures”, (2006) ACM Journal on Emerging Technologies in Computing Systems, 2 (2), Apr. 2006, pp. 65-103.
  • Souri, S., et al., “Multiple Si layers ICs: motivation, performance analysis, and design Implications”, (2000) Proceedings—Design Automation Conference, pp. 213-220.
  • Vinet, M., et.al., “3D monolithic integration: Technological challenges and electrical results”, Microelectronic Engineering Apr. 2011 vol. 88, Issue 4, pp. 331-335.
  • Bobba, S. et al., “CELONCEL: Effective Design Technique for 3-D Monolithic Integration targeting High Performance Integrated Circuits”, Asia pacific DAC 2011, paper 4A-4.
  • Choudhury, D., “3D Integration Technologies for Emerging Microsystems”, IEEE Proceedings of the IMS 2010, pp. 1-4.
  • Lee, Y.-J., et al., “3D 65nm CMOS with 320° C. Microwave Dopant Activation”, IEDM 2010, pp. 1-4.
  • Crnogorac, F., et al., “Semiconductor crystal islands for three-dimensional integration”, J. Vac. Sci. Technol. B 28(6), Nov./Dec. 2010, pp. C6P53-C6P58.
  • Park, J.-H., et al., “N-Channel Germanium MOSFET Fabricated Below 360° C. by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs”, IEEE Electron Device Letters, vol. 32, No. 3, Mar. 2011, pp. 234-236.
  • Jung, S.-M., et al., “Highly Area Efficient and Cost Effective Double Stacked S3( Stacked Single-crystal Si ) Peripheral CMOS SSTFT and SRAM Cell Technology for 512M bit density SRAM”, IEDM 2003, pp. 265-268.
  • Joyner, J.W., “Opportunities and Limitations of Three-dimensional Integration for Interconnect Design”, PhD Thesis, Georgia Institute of Technology, Jul. 2003.
  • Choi, S.-J., “A Novel TFT with a Laterally Engineered Bandgap for of 3D Logic and Flash Memory”, 2010 Symposium of VLSI Technology Digest, pp. 111-112.
  • Radu, I., et al., “Recent Developments of Cu—Cu non-thermo compression bonding for wafer-to-wafer 3D stacking”, IEEE 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010.
  • Gaudin, G., et al., “Low temperature direct wafer to wafer bonding for 3D integration”, 3D Systems Integration Conference (3DIC), IEEE, 2010, Munich, Nov. 16-18, 2010, pp. 1-4.
  • “Jung, S.-M., et al., “Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node””, IEDM 2006, Dec. 11-13, 2006.
  • Souri, S. J., “Interconnect Performance in 3-Dimensional Integrated Circuits”, PhD Thesis, Stanford, Jul. 2003.
  • Uemoto, Y., et al., “A High-Performance Stacked-CMOS SRAM Cell by Solid Phase Growth Technique”, Symposium on VLSI Technology, 2010, pp. 21-22.
  • Jung, S.-M., et al., “Highly Cost Effective and High Performance 65nm S3( Stacked Single-crystal Si ) SRAM Technology with 25F2, 0.16um2 cell and doubly Stacked SSTFT Cell Transistors for Ultra High Density and High Speed Applications”, 2005 Symposium on VLSI Technology Digest of Technical papers, pp. 220-221.
  • Steen, S.E., et al., “Overlay as the key to drive wafer scale 3D integration”, Microelectronic Engineering 84 (2007) 1412-1415.
  • Maeda, N., et al., “Development of Sub 10-μm Ultra-Thinning Technology using Device Wafers for 3D Manufacturing of Terabit Memory”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 105-106.
  • Chan, M., et al., “3-Dimensional Integration for Interconnect Reduction in for Nano-CMOS Technologies”, IEEE Tencon, Nov. 23, 2006, Hong Kong.
  • Dong, X., et al., “Chapter 10: System-Level 3D IC Cost Analysis and Design Exploration”, in Xie, Y., et al., “Three-Dimensional Integrated Circuit Design”, book in series “Integrated Circuits and Systems” ed. A. Andrakasan, Springer 2010.
  • Naito, T., et al., “World's first monolithic 3D-FPGA with TFT SRAM over 90nm 9 layer Cu CMOS”, 2010 Symposium on VLSI Technology Digest of Technical Papers, pp. 219-220.
  • Bernard, E., et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack”, 2008 Symposium on VLSI Technology Digest of Technical Papers, pp. 16-17.
  • Cong, J., et al., “Quantitative Studies of Impact of 3D IC Design on Repeater Usage”, Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, pp. 344-348, 2008.
  • Gutmann, R.J., et al., “Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals”, Journal of Semiconductor Technology and Science, vol. 4, No. 3, Sep. 2004, pp. 196-203.
  • Crnogorac, F., et al., “Nano-graphoepitaxy of semiconductors for 3D integration”, Microelectronic Engineering 84 (2007) 891-894.
  • Koyanagi, M, “Different Approaches to 3D Chips”, 3D IC Review, Stanford University, May 2005.
  • Koyanagi, M, “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009 presentation.
  • Koyanagi, M., et al., “Three-Dimensional Integration Technology and Integrated Systems”, ASPDAC 2009, paper 4D-1, pp. 409-415.
  • Hayashi, Y., et al., “A New Three Dimensional IC Fabrication Technology Stacking Thin Film Dual-CMOS Layers”, IEDM 1991, paper 25.6.1, pp. 657-660.
  • Clavelier, L., et al., “Engineered Substrates for Future More Moore and More Than Moore Integrated Devices”, IEDM 2010, paper 2.6.1, pp. 42-45.
  • Kim, K., “From The Future Si Technology Perspective: Challenges and Opportunities”, IEDM 2010, pp. 1.1.1-1.1.9.
  • Ababei, C., et al., “Exploring Potential Benefits of 3D FPGA Integration”, in book by Becker, J.et al. Eds., “Field Programmable Logic 2004”, LNCS 3203, pp. 874-880, 2004, Springer-Verlag Berlin Heidelberg.
  • Ramaswami, S., “3D TSV IC Processing”, 3DIC Technology Forum Semicon Taiwan 2010, Sep. 9, 2010.
  • Davis, W.R., et al., “Demystifying 3D Ics: Pros and Cons of Going Vertical”, IEEE Design and Test of Computers, Nov.-Dec. 2005, pp. 498-510.
  • Lin, M., et al., “Performance Benefits of Monolithically Stacked 3DFPGA”, FPGA06, Feb. 22-24, 2006, Monterey, California, pp. 113-122.
  • Dong, C., et al., “Performance and Power Evaluation of a 3D CMOS/Nanomaterial Reconfigurable Architecture”, ICCAD 2007, pp. 758-764.
  • Gojman, B., et al., “3D Nanowire-Based Programmable Logic”, International Conference on Nano-Networks (Nanonets 2006), Sep. 14-16, 2006.
  • Dong, C., et al., “3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits”, IEEE Transactions on Circuits and Systems, vol. 54, No. 11, Nov. 2007, pp. 2489-2501.
  • Golshani, N., et al., “Monolithic 3D Integration of SRAM and Image Sensor Using Two Layers of Single Grain Silicon”, 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 16-18, 2010, pp. 1-4.
  • Rajendran, B., et al., “Thermal Simulation of laser Annealing for 3D Integration”, Proceedings VMIC 2003.
  • Woo, H.-J., et al., “Hydrogen Ion Implantation Mechanism in GaAs-on-insulator Wafer Formation by Ion-cut Process”, Journal of Semiconductor Technology and Science, vol. 6, No. 2, Jun. 2006, pp. 95-100.
  • Sadaka, M., et al., “Building Blocks for wafer level 3D integration”,www.electroiq.com, Aug. 18, 2010, last accessed Aug. 18, 2010.
  • Madan, N., et al., “Leveraging 3D Technology for Improved Reliability,” Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), IEEE Computer Society.
  • Hayashi, Y., et al., “Fabrication of Three Dimensional IC Using “Cumulatively Bonded IC” (CUBIC) Technology”, 1990 Symposium on VLSI Technology, pp. 95-96.
  • Akasaka, Y., “Three Dimensional IC Trends,” Proceedings of the IEEE, vol. 24, No. 12, Dec. 1986.
  • Guarini, K. W., et al., “Electrical Integrity of State-of-the-Art 0.13um SOI Device and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication,” IEDM 2002, paper 16.6, pp. 943-945.
  • Kunio, T., et al., “Three Dimensional Ics, Having Four Stacked Active Device Layers,” IEDM 1989, paper 34.6, pp. 837-840.
  • Gaillardon, P-E., et al., “Can We Go Towards True 3-D Architectures?,” DAC 2011, paper 58, pp. 282-283.
  • Yun, J-G., et al., “Single-Crystalline Si Stacked Array (STAR) NAND Flash Memory,” IEEE Transactions on Electron Devices, vol. 58, No. 4, Apr. 2011, pp. 1006-1014.
  • Kim, Y., et al., “Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array,” IEEE Transactions on Electron Devices, vol. 59, No. 1, Jan. 2012, pp. 35-45.
  • Goplen, B., et al., “Thermal Via Placement in 3DICs,” Proceedings of the International Symposium on Physical Design, Apr. 3-6, 2005, San Francisco.
  • Bobba, S., et al., “Performance Analysis of 3-D Monolithic Integrated Circuits,” 2010 IEEE International 3D Systems Integration Conference (3DIC), Nov. 2010, Munich, pp. 1-4.
  • Batude, P., et al., “Demonstration of low temperature 3D sequential FDSOI integration down to 50nm gate length,” 2011 Symposium on VLSI Technology Digest of Technical Papers, pp. 158-159.
  • Batude, P., et al., “Advances, Challenges and Opportunties in 3D CMOS Sequential Integration,” 2011 IEEE International Electron Devices Meeting, paper 7.3, Dec. 2011, pp. 151-154.
  • Yun, C. H., et al., “Transfer of patterned ion-cut silicon layers”, Applied Physics Letters, vol. 73, No. 19, Nov. 1998, pp. 2772-2774.
  • Ishihara, R., et al., “Monolithic 3D-ICs with single grain Si thin film transistors,” Solid-State Electronics 71 (2012) pp. 80-87.
  • Lee, S. Y., et al., “Architecture of 3D Memory Cell Array on 3D IC,” IEEE International Memory Workshop, May 20, 2012, Monterey, CA.
  • Lee, S. Y., et al., “3D IC Architecture for High Density Memories,” IEEE International Memory Workshop, p. 1-6, May 2010.
  • Rajendran, B., et al., “CMOS transistor processing compatible with monolithic 3-D Integration,” Proceedings VMIC 2005.
  • Huet, K., “Ultra Low Thermal Budget Laser Thermal Annealing for 3D Semiconductor and Photovoltaic Applications,” NCCAVS 2012 Junction Technology Group, Semicon West, San Francisco, Jul. 12, 2012.
  • Derakhshandeh, J., et al., “A Study of the CMP Effect on the Quality of Thin Silicon Films Crystallized by Using the u-Czochralski Process,” Journal of the Korean Physical Society, vol. 54, No. 1, 2009, pp. 432-436.
  • Kim, J., et al., “A Stacked Memory Device on Logic 3D Technology for Ultra-high-density Data Storage,” Nanotechnology, vol. 22, 254006 (2011).
  • Lee, K. W., et al., “Three-dimensional shared memory fabricated using wafer stacking technology,” IEDM Tech. Dig., 2000, pp. 165-168.
  • Chen, H. Y., et al., “HfOx Based Vertical Resistive Random Access Memory for Cost Effective 3D Cross-Point Architecture without Cell Selector,” Proceedings IEDM 2012, pp. 497-499.
  • Huet, K., et al., “Ultra Low Thermal Budget Anneals for 3D Memories: Access Device Formation,” Ion Implantation Technology 2012, AIP Conf Proceedings 1496, 135-138 (2012).
  • Batude, P., et al., “3D Monolithic Integration,” ISCAS 2011 pp. 2233-2236.
  • Batude, P., et al., “3D Sequential Integration: A Key Enabling Technology for Heterogeneous C-Integration of New Function With CMOS,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, No. 4, Dec. 2012, pp. 714-722.
  • Vinet, M., et.al., “Germanium on Insulator and new 3D architectures opportunities for integration”, International Journal of Nanotechnology, vol. 7, No. 4, (Aug. 2010) pp. 304-319.
  • Bernstein, K., et al., “Interconnects in the Third Dimension: Design Challenges for 3DICs,” Design Automation Conference, 2007, DAC'07, 44th ACM/IEEE, vol., no., pp. 562-567, Jun. 4-8, 2007.
  • Kuroda, T., “ThruChip Interface for Heterogeneous Chip Stacking,” ElectroChemicalSociety Transactions, 50(14) 63-68 (2012).
  • Miura, N., et al., “A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,” IEEE Micro Cool Chips XVI, Yokohama, Apr. 17-19, 2013, pp. 1-3(2013).
  • Kuroda, T., “Wireless Proximity Communications for 3D System Integration,” Future Directions in IC and Package Design Workshop, Oct. 29, 2007.
  • Qiang, J-Q, “3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems,” Proceedings of the IEEE, 97.1 (2009) pp. 18-30.
  • Lee, B.H., et al., “A Novel Pattern Transfer Process for Bonded SOI Giga-bit DRAMs,” Proceedings 1996 IEEE International SOI Conference, Oct. 1996, pp. 114-115.
  • Wu, B., et al., “Extreme ultraviolet lithography and three dimensional circuits,” Applied Physics Reviews, 1, 011104 (2014).
  • Delhougne, R., et al., “First Demonstration of Monocrystalline Silicon Macaroni Channel for 3-D NAND Memory Devices” IEEE VLSI Tech Digest, 2018, pp. 203-204.
  • Kim, J., et al.; “A stacked memory device on logic 3D technology for ultra-high-density data storage”; Nanotechnology 22 (2011) 254006 (7pp).
  • Hsieh, P-Y, et al., “Monolithic 3D BEOL FinFET switch arrays using location-controlled-grain technique in voltage regulator with better FOM than 2D regulators”, IEDM paper 3.1, pp. IEDM19-46 to IEDM19-49.
  • Then, Han Wui, et al., “3D heterogeneous integration of high performance high-K metal gate GaN NMOS and Si PMOS transistors on 300mm high resistivity Si substrate for energy-efficient and compact power delivery, RF (5G and beyond) and SoC applications”, IEDM 2019, paper 17.3, pp. IEDM19-402 to IEDM19-405.
  • Rachmady, W., et al., “300mm Heterogeneous 3D Integration of Record Performance Layer Transfer Germanium PMOS with Silicon NMOS for Low Power High Performance Logic Applications”, IEDM 2019, paper 29.7, pp. IEDM19-697 to IEDM19-700.
Patent History
Patent number: 11961827
Type: Grant
Filed: Dec 23, 2023
Date of Patent: Apr 16, 2024
Assignee: Monolithic 3D Inc. (Klamath Falls, OR)
Inventors: Zvi Or-Bach (Haifa), Brian Cronquist (Klamath Falls, OR)
Primary Examiner: Thao P Le
Application Number: 18/395,546
Classifications
Current U.S. Class: With Electrical Circuit Layout (438/129)
International Classification: H01L 21/768 (20060101); H01L 21/74 (20060101); H01L 23/00 (20060101); H01L 23/48 (20060101); H01L 23/485 (20060101); H01L 23/522 (20060101); H01L 25/00 (20060101); H01L 25/065 (20230101); H01L 27/06 (20060101); H01L 27/088 (20060101); H01L 29/66 (20060101); H01L 27/092 (20060101); H01L 29/423 (20060101); H01L 29/78 (20060101);