CONTACT FEATURES OF SEMICONDUCTOR DEVICE AND METHOD OF FORMING SAME
A method includes forming a dielectric layer over a source/drain region. An opening is formed in the dielectric layer. The opening exposes a portion of the source/drain region. A conductive liner is formed on sidewalls and a bottom of the opening. A surface modification process is performed on an exposed surface of the conductive liner. The surface modification process forms a surface coating layer over the conductive liner. The surface coating layer is removed to expose the conductive liner. The conductive liner is removed from the sidewalls of the opening. The opening is filled with a conductive material in a bottom-up manner. The conductive material is in physical contact with a remaining portion of the conductive liner and the dielectric layer.
This application claims the benefit of U.S. Provisional Application No. 63/267,948, filed on Feb. 14, 2022, which application is hereby incorporated herein by reference.
BACKGROUNDSemiconductor devices are used in a variety of electronic applications, such as, for example, personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers of material over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continual reductions in minimum feature size, which allow more components to be integrated into a given area.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
Embodiments will be described with respect to a specific context, namely, contact features (such as, for example, source/drain contact plugs, gate contact plugs, source/drain and gate vias, or the like) of a semiconductor device and methods of forming the same. Various embodiments presented herein are discussed in the context of a fin field-effect transistor (FinFET) device formed using a gate-last process. In other embodiments, a gate-first process may be used. Various embodiments may be applied, however, to dies comprising other types of transistors, such as planar transistors or gate-all-around (GAA) transistors (for example, nanostructure (e.g., nanosheet, nanowire, or the like) field-effect transistors (NSFETs)) in lieu of or in combination with the FinFETs. In some embodiments, during formation of contact features, a conductive liner (such as a seed/barrier layer) is formed in a contact opening and a surface treatment is performed on the conductive liner to enhance compatibility between the conductive liner and subsequently formed bottom anti-reflective coating (BARC) layer. In some embodiments, the surface treatment modifies a surface portion of the conductive liner or deposits a desired material on a surface of the conductive liner to form a surface coating layer over the conductive liner. The surface coating layer may promote a cross-linking reaction of the BARC layer and improve filling of the contact opening with the BARC layer, so that damage to underlying layers (such as the conductive liner, a silicide region, portions of an epitaxial source/drain region, gate layers, or the like) during a top pull-back process for removing top portions of the conductive liner is reduced or avoided. By avoiding the damage to the conductive liner, the conductive liner may maintain a uniform thickness or may have reduced thickness variation, which may assist with the bottom-up filling of contact openings with a conductive fill material to form contact features. Various embodiments discussed herein allow for improving contact feature filling, reducing a resistance of the contact features, improving reliability of the contact features, and improving device yield.
A gate dielectric layer 88 is along sidewalls and over a top surface of the fin 52, and a gate electrode 90 is over the gate dielectric layer 88. Source/drain regions 80 are disposed in opposite sides of the fin 52 with respect to the gate dielectric layer 88 and gate electrode 90.
In
In some embodiments, the substrate 50 may have an n-type region 50N and a p-type region 50P. The n-type region 50N is for forming n-type devices, such as NMOS transistors, e.g., n-type FinFETs. The p-type region 50P is for forming p-type devices, such as PMOS transistors, e.g., p-type FinFETs. The n-type region 50N may be physically separated from the p-type region 50P (as illustrated by a divider 50′), and any number of device features (e.g., other active devices, doped regions, isolation structures, etc.) may be disposed between the n-type region 50N and the p-type region 50P.
In
The above method for forming the fins 52 is merely an example method for forming the fins 52. The fins 52 may be formed by any suitable method. For example, the fins 52 may be formed using one or more photolithography processes, including double-patterning or multi-patterning processes. Generally, double-patterning or multi-patterning processes combine photolithography and self-aligned processes, allowing patterns to be created that have, for example, pitches smaller than what is otherwise obtainable using a single, direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers may then be used as an etch mask to form the fins 52. In some embodiments, a mask (or other layer) may remain on the fins 52.
In
In
In
The process described with respect to
Still further, it may be advantageous to epitaxially grow a material in the n-type region 50N different from the material in the p-type region 50P. In various embodiments, upper portions of the fins 52 may be formed from silicon-germanium (SixGe1−x, where x can be in the range of 0 to 1), silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. For example, the available materials for forming III-V compound semiconductor include, but are not limited to, indium arsenide, aluminum arsenide, gallium arsenide, indium phosphide, gallium nitride, indium gallium arsenide, indium aluminum arsenide, gallium antimonide, aluminum antimonide, aluminum phosphide, gallium phosphide, and the like.
Further in
Following the implanting of the p-type region 50P, a photoresist is formed over the fins 52 and the isolation regions 56 in both the n-type region 50N and the p-type region 50P. The photoresist is then patterned to expose the n-type region 50N of the substrate 50. The photoresist can be formed by using a spin-on technique and can be patterned using acceptable photolithography techniques. Once the photoresist is patterned, a p-type impurity implant may be performed in the n-type region 50N, and the photoresist may act as a mask to substantially prevent p-type impurities from being implanted into the p-type region 50P. The p-type impurities may be boron, boron fluoride, indium, or the like implanted in the regions to a concentration of equal to or less than 1018 cm−3, such as between about 1016 cm−3 and about 1018 cm−3. After the implantation, the photoresist may be removed, such as by an acceptable ashing process followed by a wet clean process.
After performing the implantations of the n-type region 50N and the p-type region 50P, an anneal may be performed to repair implant damage and to activate the p-type and/or n-type impurities that were implanted. In some embodiments, the grown materials of epitaxial fins may be in situ doped during growth, which may obviate the implantations, although in situ and implantation doping may be used together.
In
In the illustrated embodiment, a single dummy gate layer 62 and a single mask layer 64 are formed across the n-type region 50N and the p-type region 50P. In other embodiments, a dummy gate layer formed in the n-type region 50N is different from a dummy gate layer formed in the p-type region 50P, a mask layer formed in the n-type region 50N is different from a mask layer formed in the p-type region 50P. It is noted that the dummy dielectric layer 60 is shown covering only the fins 52 for illustrative purposes only. In some embodiments, the dummy dielectric layer 60 may be deposited such that the dummy dielectric layer 60 covers the isolation regions 56, extending over the isolation regions 56 and between the dummy gate layer 62 and the isolation regions 56.
In
Further in
After the formation of the gate seal spacers 76, implants for lightly doped source/drain (LDD) regions (not explicitly illustrated) may be performed. In the embodiments with different device types, similar to the implants discussed above in
In
It is noted that the above disclosure generally describes a process of forming spacers and LDD regions. Other processes and sequences may be used. For example, fewer or additional spacers may be utilized, or different sequence of steps may be utilized (e.g., the gate seal spacers 76 may not be etched prior to forming the gate spacers 78, yielding “L-shaped” gate seal spacers, spacers may be formed and removed, and/or the like). Furthermore, the n-type and p-type devices may be formed using a different structures and steps. For example, the LDD regions for n-type devices may be formed prior to forming the gate seal spacers 76, while the LDD regions for p-type devices may be formed after forming the gate seal spacers 76.
In
The epitaxial source/drain regions 80N in the n-type region 50N may be formed by masking the p-type region 50P and etching source/drain regions of the fins 52 in the n-type region 50N to form recesses in the fins 52. Then, the epitaxial source/drain regions 80N are epitaxially grown in the recesses. The epitaxial source/drain regions 80N may include any acceptable material, such as appropriate for n-type FinFETs. For example, if the fin 52 is made of silicon, the epitaxial source/drain regions 80N may include materials exerting a tensile strain in the channel region 58, such as silicon, silicon carbide, phosphorous doped silicon carbide, silicon phosphide, or the like. The epitaxial source/drain regions 80N may have surfaces raised from respective surfaces of the fins 52 and may have facets.
The epitaxial source/drain regions 80P in the p-type region 50P may be formed by masking the n-type region 50N and etching source/drain regions of the fins 52 in the p-type region 50P to form recesses in the fins 52. Then, the epitaxial source/drain regions 80P are epitaxially grown in the recesses. The epitaxial source/drain regions 80P may include any acceptable material, such as appropriate for p-type FinFETs. For example, if the fin 52 is made of silicon, the epitaxial source/drain regions 80P may comprise materials exerting a compressive strain in the channel region 58, such as silicon-germanium, boron doped silicon-germanium, germanium, germanium tin, or the like. The epitaxial source/drain regions 80P may have surfaces raised from respective surfaces of the fins 52 and may have facets.
The epitaxial source/drain regions 80N and 80P and/or the fins 52 may be implanted with dopants to form source/drain regions, similar to the process previously discussed for forming lightly-doped source/drain regions, followed by an anneal. The source/drain regions may have an impurity concentration of between about 1019 cm−3 and about 1021 cm−3. The n-type and/or p-type impurities for source/drain regions may be any of the impurities previously discussed. In some embodiments, the epitaxial source/drain regions 80N and 80P may be in situ doped during growth.
As a result of the epitaxy processes used to form the epitaxial source/drain regions 80N in the n-type region 50N and the epitaxial source/drain regions 80P in the p-type region 50P, upper surfaces of the epitaxial source/drain regions 80N and 80P have facets which expand laterally outward beyond sidewalls of the fins 52. In some embodiments, these facets cause adjacent source/drain regions 80N and 80P of a same FinFET to merge as illustrated in
In
In
In
In
In some embodiments, the gate dielectric layers 88 are deposited in the recesses 86, such as on the top surfaces and the sidewalls of the fins 52 and on sidewalls of the gate seal spacers 76/gate spacers 78. The gate dielectric layers 88 may also be formed on the top surface of the first ILD 84. In some embodiments, the gate dielectric layers 88 comprise one or more dielectric layers, such as one or more layers of silicon oxide, silicon nitride, metal oxide, metal silicate, or the like. In some embodiments, the gate dielectric layers 88 include an interfacial layer of silicon oxide formed by thermal or chemical oxidation and an overlying high-k dielectric material, such as a metal oxide or a silicate of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, or combinations thereof. The high-k dielectric material may have a k value greater than about 7.0. The formation methods of the gate dielectric layers 88 may include Molecular-Beam Deposition (MBD), ALD, PECVD, or the like. In embodiments where portions of the dummy dielectric layer 60 remain in the recesses 86, the gate dielectric layers 88 include a material of the dummy dielectric layer 60 (e.g., SiO2).
The gate electrodes 90 are deposited over the gate dielectric layers 88 and fill the remaining portions of the recesses 86 (see
After the filling of the recesses 86 (see
The formation of the gate dielectric layers 88 in the n-type region 50N and the p-type region 50P of the substrate 50 may occur simultaneously such that the gate dielectric layers 88 in each region are formed of the same materials. In other embodiments, the gate dielectric layers 88 in each region may be formed by distinct processes such that the gate dielectric layers 88 in different regions may be formed of different materials. The formation of the conductive fill layers 90C in the n-type region 50N and the p-type region 50P of the substrate 50 may occur simultaneously such that the conductive fill layers 90C in each region are formed of the same materials. In other embodiments, the conductive fill layers 90C in each region may be formed by distinct processes such that the conductive fill layers 90C in different regions may be formed of different materials. Various masking steps may be used to mask and expose appropriate regions when using distinct processes.
In
Further in
In some embodiments, after forming the openings, silicide layers 98 are formed over the epitaxial source/drain regions 80N and 80P in the openings. After forming the silicide layers 98, the contact features 104 are formed over the silicide layers 98 in the openings. In some embodiments, each of the contact features 104 comprises a conductive liner 100 and a conductive fill material 102 over the conductive liner 100. The conductive liner 100 may be also referred to as a seed/barrier layer. In some embodiments, the silicide layers 98 and the contact features 104 (including the conductive liners 100 and the conductive fill materials 102) are formed as described below with reference to
In
After forming the second ILD 106, contact features 108 and 110 are formed in both the n-type region 50N and the p-type region 50P. The contact features 108 extend through the second ILD 106 and electrically couple to respective contact features 104. The contact features 110 extend through the second ILD 106 and respective gate masks 96, and electrically couple to respective gate stacks 92. The contact features 108 may be also referred to as source/drain vias. The contact features 110 may be also referred to as gate contacts, gate contact plugs, or gate vias.
Openings for the contact features 108 are formed in the second ILD 106 and expose respective contact features 104. Openings for the contact features 110 are formed in the second ILD 106 and respective gate masks 96, and expose respective gate stacks 92. The openings may be formed using acceptable photolithography and etch techniques. The etch may be anisotropic.
After forming the openings, the contact features 108 and 110 are formed in respective openings. In some embodiments, the contact features 108 and 110 are formed by forming a liner (such as a seed layer, a diffusion barrier layer, an adhesion layer, or the like) and a conductive material in respective openings. The liner may include tungsten, cobalt, titanium, titanium nitride, tantalum, tantalum nitride, a combination thereof, or the like. The conductive material may include copper, a copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, a combination thereof, or the like. A planarization process, such as a CMP process, may be performed to remove excess material from a surface of the second ILD 106. The remaining portions of the liner and the conductive material form the contact features 108 and 110 in respective openings. After the planarization process, top surfaces of the contact features 108 and 110 are substantially level or coplanar with the top surface of the second ILD 106 within process variations of the planarization process. In other embodiments, the contact features 108 and 110 may be formed in a similar manner as the contact features 104 described above with reference to
In
In some embodiments, a silicide layer 98 is formed over the epitaxial source/drain region 80P in the opening 114. Although the silicide layer 98 is referred to as a silicide layer, the silicide layer 98 may also be a germanide layer, or a silicon germanide layer (e.g., a layer comprising silicide and germanide). In some embodiments, a material of the silicide layer 98 is deposited on a bottom and sidewalls of the opening 114 using CVD, PECVD, or the like. In some embodiments when the silicide layer 98 comprises titanium silicide (TiSi), the silicide layer 98 may be formed by CVD using a titanium-containing precursor, such as TiCl4, or the like. In some embodiments, the silicide region 98 has a thickness between about 3 nm and about 10 nm.
In other embodiments, the silicide layer 98 may be formed by depositing over the exposed portions of the epitaxial source/drain region 80P a metallic material (not shown) that is capable of reacting with the semiconductor materials of the underlying epitaxial source/drain region 80P (e.g., silicon, silicon germanium, germanium) to form silicide or germanide regions, followed by an annealing process to form the silicide layer 98. The metallic material may be deposited on the bottom and the sidewalls of the opening 114. The metallic material may comprise Ti, Co, Ni, NiCo, Pt, NiPt, Ir, PtIr, Er, Yb, Pd, Rh, Nb, a combination thereof, or the like, and may be formed using PVD, sputtering, a combination thereof, or the like. Subsequently, the annealing process is performed to form the silicide layer 98. In some embodiments, the annealing process causes the metallic material to react with the semiconductor material of the epitaxial source/drain region 80P and form the silicide layer 98. After forming the silicide layer 98, unreacted portions of the metallic material are removed using a suitable removal process, such as a suitable etch process, for example. In such embodiments, the silicide layer 98 extends along the bottom of the opening 114 and does not extend along the sidewalls of the opening 114.
After forming the silicide layer 98, a conductive liner 100 is formed on the silicide layer 98 along the sidewalls and the bottom of the opening 114, and over the first ILD 84. In some embodiments, the conductive liner 100 may comprise a metallic material, such as tungsten (W), cobalt (Co), a combination thereof, or the like, and may be formed by sputtering, PVD, ALD, CVD, or the like. In some embodiments, the conductive liner 100 is deposited in a non-conformal manner, such that a first thickness T1 of a first portion of the conductive liner 100 over the bottom of the opening 114 and a second thickness T2 of a second portion of the conductive liner 100 over the first ILD 84 are greater than a third thickness T3 of a third portion of the conductive liner 100 on the sidewalls of the opening 114. In some embodiments, the first thickness T1 is between about 3 nm and about 10 nm. In some embodiments, the second thickness T2 is between about 3 nm and about 10 nm. In some embodiments, the third thickness T3 is between about 1 nm and about 3 nm.
In
In some embodiments when surface modification process comprises a plasma process, the plasma process may be performed using a plasma generated from a process gas comprising a reaction gas and a carrier gas. The reaction gas may comprise NH3, N2, H2, a mixture thereof, or the like. The carrier gas may comprise an inert chemical, such as Ar, N2, He, a mixture thereof, or the like. In some embodiments, the plasma process may be an in-situ plasma process, such as a capacitively coupled plasma (CCP) process, or the like. In other embodiments, the plasma process may be a remote plasma process, such as an inductively coupled plasma (ICP) process, or the like. A flow rate of the reaction gas may be between 50 sccm to 1000 sccm. The plasma process may be performed at a plasma power between about 100 W and about 5000 W. The plasma process may be performed at a process pressure between about 500 mTorr and about 30000 mTorr. The plasma process may be performed at a process temperature between about 150° C. and about 500° C. In some embodiments when the conductive liner 100 comprises a metallic material and the reaction gas of the plasma process comprises a nitrogen-containing chemical, the surface coating layer 116 comprises a nitrogen-containing metallic material or a nitride of the metallic material. For example, when the metallic material is tungsten, the surface coating layer 116 comprises nitrogen-containing tungsten or tungsten nitride. For example, when the metallic material is cobalt, the surface coating layer 116 comprises nitrogen-containing cobalt or cobalt nitride.
In some embodiments when surface modification process comprises a thermal soaking process, the thermal soaking process may be performed using a process gas comprising a reaction gas and a carrier gas. The reaction gas may comprise a titanium-containing chemical, a tantalum-containing chemical, a silicon-containing chemical, or the like. The titanium-containing chemical may comprise TiCl4, tetrakis(dimethylamino)titanium (TDMAT), TiI4, a mixture thereof, or the like. The tantalum-containing chemical may comprise tris(ethylmethylamido)(tert-butylimido)tantalum (TBTEMT), pentakis(dimethylamino)tantalum (PDMAT), a mixture thereof, or the like. The silicon-containing chemical may comprise SiH4, SiCl4, SiI4, SiH2Cl2, SiF4, mixture thereof, or the like. The carrier gas may comprise an inert chemical, such as Ar, N2, He, a mixture thereof, or the like. A flow rate of the reaction gas may be between 50 sccm to 1000 sccm. The thermal soaking process may be performed at a process pressure between about 500 mTorr and about 30000 mTorr. The thermal soaking process may be performed at a process temperature between about 150° C. and about 500° C. In some embodiments when the conductive liner 100 comprises a metallic material and the reaction gas of the thermal soaking process comprises titanium-containing chemical, the surface coating layer 116 comprises a titanium-containing metallic material. In some embodiments when the conductive liner 100 comprises a metallic material and the reaction gas of the thermal soaking process comprises tantalum-containing chemical, the surface coating layer 116 comprises a tantalum-containing metallic material. In some embodiments when the conductive liner 100 comprises a metallic material and the reaction gas of the thermal soaking process comprises silicon-containing chemical, the surface coating layer 116 comprises a silicon-containing metallic material. In some embodiments when the metallic material is tungsten, the surface coating layer 116 may comprise titanium-containing tungsten, tantalum-containing tungsten, or silicon-containing tungsten. In some embodiments when the metallic material is cobalt, the surface coating layer 116 may comprise titanium-containing cobalt, tantalum-containing cobalt, or silicon-containing cobalt.
In some embodiments, the surface modification process comprises a deposition process that deposits a desired material over the conductive liner 100 to form the surface coating layer 116. In some embodiments, the surface coating layer 116 may comprise a metallic material (such as titanium, tantalum, aluminum, cobalt, tungsten, or the like), a nitrogen-containing metallic material or a metal nitride material (such as titanium nitride, tantalum nitride, or the like), or the like. In some embodiments when the surface coating layer 116 comprises a metallic material, the surface coating layer 116 may be deposited using sputtering, PVD, a plasma-assisted thermal deposition process (such as PEALD, PECVD, or the like), or the like. In some embodiments when the surface coating layer 116 comprises a nitrogen-containing metallic material or a metal nitride material, the surface coating layer 116 may be deposited using a plasma-assisted thermal deposition process, such as PEALD, PECVD, or the like.
In some embodiments, the plasma-assisted thermal deposition process may be performed using a plasma generated from a process gas comprising a reaction gas and a carrier gas. The carrier gas may comprise an inert chemical, such as Ar, N2, He, a mixture thereof, or the like. In some embodiments when the surface coating layer 116 comprises a metallic material, the reaction gas comprises a metal-containing chemical, such as a titanium-containing chemical, a tantalum-containing chemical, a cobalt-containing chemical, an aluminum-containing chemical, or the like. The titanium-containing chemical may comprise TiCl4, TDMAT, TiI4, a mixture thereof, or the like. The tantalum-containing chemical may comprise TBTEMT, PDMAT, a mixture thereof, or the like. The cobalt-containing chemical may comprise (3,3-Dimethyl-1-butyne)dicobalt hexacarbonyl (CCTBA), or the like. The aluminum-containing chemical may comprise AlOx, or the like. In some embodiments when the surface coating layer 116 comprises a nitrogen-containing metallic material or a metal nitride material, the reaction gas further comprises a nitrogen-containing chemical in addition to the metal-containing chemical described above. The nitrogen-containing chemical may comprise NH3, N2, a mixture thereof, or the like.
In some embodiments, the plasma-assisted thermal deposition process may be an in-situ plasma process, such as a CCP process, or the like. In other embodiments, the plasma-assisted thermal deposition process may be a remote plasma process, such as an ICP process, or the like. A flow rate of the reaction gas may be between 50 sccm to 1000 sccm. The plasma-assisted thermal deposition process may be performed at a plasma power between about 100 W and about 5000 W. The plasma-assisted thermal deposition process may be performed at a process pressure between about 500 mTorr and about 30000 mTorr. The plasma-assisted thermal deposition process may be performed at a process temperature between about room temperature and about 500° C.
In
In
In
By improving the filling of the opening 114 (see
In
In
In
In
Process steps similar to process steps described above with reference to
In
The disclosed FinFET embodiments could also be applied to nanostructure devices such as nanostructure (e.g., nanosheet, nanowire, gate-all-around, or the like) field effect transistors (NSFETs). In an NSFET embodiment, the fins are replaced by nanostructures formed by patterning a stack of alternating layers of channel layers and sacrificial layers. Dummy gate stacks and source/drain regions are formed in a manner similar to the above-described embodiments. After the dummy gate stacks are removed, the sacrificial layers can be partially or fully removed in channel regions. The replacement gate structures are formed in a manner similar to the above-described embodiments, the replacement gate structures may partially or completely fill openings left by removing the sacrificial layers, and the replacement gate structures may partially or completely surround the channel layers in the channel regions of the NSFET devices. ILDs and contacts to the replacement gate structures and the source/drain regions may be formed in a manner similar to the above-described embodiments. A nanostructure device can be formed as disclosed in U.S. Pat. No. 9,647,071, which is incorporated herein by reference in its entirety. Such an NSFET embodiment is illustrated in
Embodiments may achieve advantages. The surface coating layer 116 formed over the conductive liner 100 (see
In accordance with an embodiment, a method includes forming a dielectric layer over a source/drain region. An opening is formed in the dielectric layer. The opening exposes a portion of the source/drain region. A conductive liner is formed on sidewalls and a bottom of the opening. A surface modification process is performed on an exposed surface of the conductive liner. The surface modification process forms a surface coating layer over the conductive liner. The surface coating layer is removed to expose the conductive liner. The conductive liner is removed from the sidewalls of the opening. The opening is filled with a conductive material in a bottom-up manner. The conductive material is in physical contact with a remaining portion of the conductive liner and the dielectric layer. In an embodiment, the surface modification process includes transforming an upper layer of the conductive liner into the surface coating layer. In an embodiment, the surface modification process includes depositing a material of the surface coating layer over the conductive liner. In an embodiment, the surface coating layer includes a metallic material or a metal nitride material. In an embodiment, the conductive liner includes a metallic material and the surface coating layer comprises a nitride of the metallic material. In an embodiment, the conductive liner includes tungsten or cobalt. In an embodiment, the surface coating layer includes titanium-containing tungsten, tantalum-containing tungsten, silicon-containing tungsten, titanium-containing cobalt, tantalum-containing cobalt, or silicon-containing cobalt.
In accordance with another embodiment, a method includes depositing a dielectric layer over an epitaxial source/drain region. The dielectric layer is etched to form an opening therein. The opening exposes the epitaxial source/drain region. A silicide layer is deposited on sidewalls and a bottom of the opening. A conductive liner is non-conformally deposited over the silicide layer in the opening. A surface modification process is performed on an exposed surface of the conductive liner. The surface modification process forms a surface coating layer over the conductive liner. The surface coating layer is removed to expose the conductive liner. The conductive liner and the silicide layer are removed from the sidewalls of the opening to expose the dielectric layer. A conductive material is deposited in the opening. The conductive material is in physical contact with a remaining portion of the silicide layer, a remaining portion of the conductive liner, and the dielectric layer. In an embodiment, the surface modification process includes a plasma process, a thermal soaking process, or a deposition process. In an embodiment, the plasma process is performed on the conductive liner using a plasma generated from a process gas including a nitrogen-containing chemical, a titanium-containing chemical, a tantalum-containing chemical, a cobalt-containing chemical, or an aluminum-containing chemical. In an embodiment, the thermal soaking process is performed on the conductive liner using a process gas including a titanium-containing chemical, a tantalum-containing chemical, or a silicon-containing chemical. In an embodiment, the deposition process deposits a metallic material or a metal nitride material on the conductive liner. In an embodiment, the conductive material is deposited in the opening in a bottom-up manner. In an embodiment, a portion of the conductive material extends below a top portion of epitaxial source/drain region.
In accordance with yet another embodiment, a method includes forming a dielectric layer over an epitaxial source/drain region. An opening is formed in the dielectric layer. The opening exposes a portion of the epitaxial source/drain region. A silicide layer is formed on sidewalls and a bottom of the opening. A conductive liner is formed over the silicide layer in the opening. A surface modification process is performed on an exposed surface of the conductive liner. The surface modification process forms a surface coating layer over the conductive liner. The opening is filled with a bottom anti-reflective coating (BARC) layer. The BARC layer is recessed below a top surface of the dielectric layer to expose a upper portion of the surface coating layer. A remaining portion of the BARC layer covers a lower portion of the surface coating layer. The upper portion of the surface coating layer and first portions of the conductive liner and the silicide layer not covered by the BARC layer are removed. The remaining portion of the BARC layer is removed to expose the lower portion of the surface coating layer. The lower portion of the surface coating layer is removed. Second portions of the conductive liner and the silicide layer disposed on the sidewalls of the opening are removed to expose the dielectric layer. The opening is filled with a conductive material. The conductive material is in physical contact with a remaining portion of the silicide layer, a remaining portion of the conductive liner, and the dielectric layer. In an embodiment, performing the surface modification process includes performing a plasma process on the conductive liner using a plasma generated from a process gas including a nitrogen-containing chemical, a titanium-containing chemical, a tantalum-containing chemical, a cobalt-containing chemical, or an aluminum-containing chemical. In an embodiment, performing the surface modification process includes performing a thermal soaking process on the conductive liner using a process gas including a titanium-containing chemical, a tantalum-containing chemical, or a silicon-containing chemical. In an embodiment, performing the surface modification process includes depositing a metallic material or a metal nitride material on the conductive liner. In an embodiment, a thickness of the conductive liner on the bottom of the opening is greater than a thickness of the conductive liner on the sidewalls of the opening. In an embodiment, the opening is filled with the conductive material in a bottom-up manner.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims
1. A method comprising:
- forming a dielectric layer over a source/drain region;
- forming an opening in the dielectric layer, the opening exposing a portion of the source/drain region;
- forming a conductive liner on sidewalls and a bottom of the opening;
- performing a surface modification process on an exposed surface of the conductive liner, the surface modification process forming a surface coating layer over the conductive liner;
- removing the surface coating layer to expose the conductive liner;
- removing the conductive liner from the sidewalls of the opening; and
- filling the opening with a conductive material in a bottom-up manner, the conductive material being in physical contact with a remaining portion of the conductive liner and the dielectric layer.
2. The method of claim 1, wherein the surface modification process comprises transforming an upper layer of the conductive liner into the surface coating layer.
3. The method of claim 1, wherein the surface modification process comprises depositing a material of the surface coating layer over the conductive liner.
4. The method of claim 1, wherein the surface coating layer comprises a metallic material or a metal nitride material.
5. The method of claim 1, wherein the conductive liner comprises a metallic material and the surface coating layer comprises a nitride of the metallic material.
6. The method of claim 1, wherein the conductive liner comprises tungsten or cobalt.
7. The method of claim 1, wherein the surface coating layer comprises titanium-containing tungsten, tantalum-containing tungsten, silicon-containing tungsten, titanium-containing cobalt, tantalum-containing cobalt, or silicon-containing cobalt.
8. A method comprising:
- depositing a dielectric layer over an epitaxial source/drain region;
- etching the dielectric layer to form an opening therein, the opening exposing the epitaxial source/drain region;
- depositing a silicide layer on sidewalls and a bottom of the opening;
- non-conformally depositing a conductive liner over the silicide layer in the opening;
- performing a surface modification process on an exposed surface of the conductive liner, the surface modification process forming a surface coating layer over the conductive liner;
- removing the surface coating layer to expose the conductive liner;
- removing the conductive liner and the silicide layer from the sidewalls of the opening to expose the dielectric layer; and
- depositing a conductive material in the opening, the conductive material being in physical contact with a remaining portion of the silicide layer, a remaining portion of the conductive liner, and the dielectric layer.
9. The method of claim 8, wherein the surface modification process comprises a plasma process, a thermal soaking process, or a deposition process.
10. The method of claim 9, wherein the plasma process is performed on the conductive liner using a plasma generated from a process gas comprising a nitrogen-containing chemical, a titanium-containing chemical, a tantalum-containing chemical, a cobalt-containing chemical, or an aluminum-containing chemical.
11. The method of claim 9, wherein the thermal soaking process is performed on the conductive liner using a process gas comprising a titanium-containing chemical, a tantalum-containing chemical, or a silicon-containing chemical.
12. The method of claim 9, wherein the deposition process deposits a metallic material or a metal nitride material on the conductive liner.
13. The method of claim 8, wherein the conductive material is deposited in the opening in a bottom-up manner.
14. The method of claim 8, wherein a portion of the conductive material extends below a top portion of epitaxial source/drain region.
15. A method comprising:
- forming a dielectric layer over an epitaxial source/drain region;
- forming an opening in the dielectric layer, the opening exposing a portion of the epitaxial source/drain region;
- forming a silicide layer on sidewalls and a bottom of the opening;
- forming a conductive liner over the silicide layer in the opening;
- performing a surface modification process on an exposed surface of the conductive liner, the surface modification process forming a surface coating layer over the conductive liner;
- filling the opening with a bottom anti-reflective coating (BARC) layer;
- recessing the BARC layer below a top surface of the dielectric layer to expose a upper portion of the surface coating layer, a remaining portion of the BARC layer covering a lower portion of the surface coating layer;
- removing the upper portion of the surface coating layer and first portions of the conductive liner and the silicide layer not covered by the BARC layer;
- removing the remaining portion of the BARC layer to expose the lower portion of the surface coating layer;
- removing the lower portion of the surface coating layer;
- removing second portions of the conductive liner and the silicide layer disposed on the sidewalls of the opening to expose the dielectric layer; and
- filling the opening with a conductive material, the conductive material being in physical contact with a remaining portion of the silicide layer, a remaining portion of the conductive liner, and the dielectric layer.
16. The method of claim 15, wherein performing the surface modification process comprises performing a plasma process on the conductive liner using a plasma generated from a process gas comprising a nitrogen-containing chemical, a titanium-containing chemical, a tantalum-containing chemical, a cobalt-containing chemical, or an aluminum-containing chemical.
17. The method of claim 15, wherein performing the surface modification process comprises performing a thermal soaking process on the conductive liner using a process gas comprising a titanium-containing chemical, a tantalum-containing chemical, or a silicon-containing chemical.
18. The method of claim 15, wherein performing the surface modification process comprises depositing a metallic material or a metal nitride material on the conductive liner.
19. The method of claim 15, wherein a thickness of the conductive liner on the bottom of the opening is greater than a thickness of the conductive liner on the sidewalls of the opening.
20. The method of claim 15, wherein the opening is filled with the conductive material in a bottom-up manner.
Type: Application
Filed: May 13, 2022
Publication Date: Aug 17, 2023
Inventors: Pei Shan Chang (Taipei), Yi-Hsiang Chao (New Taipei), Chun-Hsien Huang (Hsinchu), Peng-Hao Hsu (Hsinchu), Kevin Lee (Hsinchu), Shu-Lan Chang (Hsinchu), Ya-Yi Cheng (Taichung), Ching-Yi Chen (Hsinchu), Wei-Jung Lin (Hsinchu), Chih-Wei Chang (Hsinchu), Ming-Hsing Tsai (Chu-Pei)
Application Number: 17/663,315