Patents Issued in January 12, 2016
  • Patent number: 9236259
    Abstract: A method of manufacturing a semiconductor device having a doped layer may be provided. The method includes providing a substrate having a first region and a second region, forming a gate dielectric layer on the substrate, forming a first gate electrode layer on the gate dielectric layer, forming a first doped layer on the first gate electrode layer, forming a first capping layer on the first doped layer, forming a mask pattern on the first capping layer in the first region, the mask pattern exposing the first capping layer in the second region, removing the first capping layer and the first doped layer in the second region, removing the mask pattern, and forming a second doped layer on the first capping layer in the first region and the first gate electrode layer in the second region.
    Type: Grant
    Filed: May 13, 2014
    Date of Patent: January 12, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Eun-Young Jo, Jong-Hoon Kang, Tae-Gon Kim, Han-Mei Choi
  • Patent number: 9236260
    Abstract: An integrated circuit has a doped silicon semiconductor with regions of insulators and bare silicon. The bare silicon regions are isolated from other bare silicon regions. A semiconductor device on the doped silicon semiconductor has at least two electrical connections to form regions of patterned metal. A metal is electroplated directly on each of the regions of patterned metal to form plated connections without a seed layer. A self-aligned silicide is located under each plated connection, formed by annealing, for the regions of plated metal on bare silicon.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: January 12, 2016
    Assignee: HGST Netherlands B.V.
    Inventors: Christian René Bonhôte, Jeffrey S. Lille, Ricardo Ruiz, Georges Gibran Siddiqi
  • Patent number: 9236261
    Abstract: Transistors having a work function layer and methods of fabricating thereof are disclosed herein. The work function layer includes aluminum and titanium layers which are deposited in separate atomic layer deposition (ALD) operations. The depositions of the titanium layers and the aluminum layers may be separated by a purge operation or even performed in different ALD chambers. The work function layer may include alternating sets of titanium layers and sets of aluminum layers, thereby forming a nanolaminate structure. As such, a ratio of titanium to aluminum may be controlled and varied as needed throughout the thickness of the work function layer. For example, the work function layer may be titanium rich at the surface facing the gate dielectric in order to reduce or prevent diffusion of aluminum into the gate dielectric.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Kevin Kashefi, Ashish Bodke
  • Patent number: 9236262
    Abstract: A substrate of SOI type is covered by an etching mask defining three distinct semiconductor patterns. A lateral spacer is formed around the three patterns and performs the connection between two adjacent patterns. The buried insulating layer is eliminated so as to define a cavity which suspends a part of a first pattern. The first etching mask is eliminated. A gate dielectric is formed on two opposite main surfaces of the first pattern. The resist is deposited in the cavity and on the first pattern and is then exposed to form two patterns defining the bottom and top gates. An electrically conducting material is deposited in the cavity and on the first pattern so as to form the bottom gate and the top gate on each side of the first semiconductor material pattern.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: January 12, 2016
    Assignee: COMMISSARIAT À L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Philippe Coronel
  • Patent number: 9236263
    Abstract: A method for fabricating a semiconductor device includes forming a silicon-containing layer; forming a metal-containing layer over the silicon-containing layer; forming an undercut prevention layer between the silicon containing layer and the metal containing layer; etching the metal-containing layer; and forming a conductive structure by etching the undercut prevention layer and the silicon-containing layer.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: January 12, 2016
    Assignee: SK Hynix Inc.
    Inventors: Kyong-Bong Rouh, Shang-Koon Na, Yong-Seok Eun, Su-Ho Kim, Tae-Han Kim, Mi-Ri Lee
  • Patent number: 9236264
    Abstract: A wafer processing method including a mask forming step of forming a mask for covering a region corresponding to each device on a functional layer formed on the front side of a substrate constituting a wafer, a groove forming step of spraying a fluid containing abrasive grains against the front side of the wafer to thereby form a groove for dividing the functional layer along each street, and an etching step of performing dry etching from the front side of the wafer to thereby form an etched groove along each street. Accordingly, it is possible to prevent that the functional layer may be separated to cause damage to each device. Furthermore, a wide area of the wafer can be processed at a time, so that the productivity can be improved.
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: January 12, 2016
    Assignee: Disco Corporation
    Inventors: Sakae Matsuzaki, Hiroyuki Takahashi
  • Patent number: 9236265
    Abstract: Methods of selectively etching silicon germanium relative to silicon are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the silicon germanium. The plasmas effluents react with exposed surfaces and selectively remove silicon germanium while very slowly removing other exposed materials. Generally speaking, the methods are useful for removing Si(1-X)GeX (including germanium i.e. X=1) faster than Si(1-Y)GeY, for all X>Y. In some embodiments, the silicon germanium etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region.
    Type: Grant
    Filed: May 5, 2014
    Date of Patent: January 12, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Mikhail Korolik, Nitin K. Ingle, Anchuan Wang, Jingjing Xu
  • Patent number: 9236266
    Abstract: A method of etching exposed silicon-and-carbon-containing material on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and an oxygen-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the exposed regions of silicon-and-carbon-containing material. The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon-and-carbon-containing material from the exposed silicon-and-carbon-containing material regions while very slowly removing other exposed materials. The silicon-and-carbon-containing material selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region. The ion suppression element reduces or substantially eliminates the number of ionically-charged species that reach the substrate.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: January 12, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Jingchun Zhang, Anchuan Wang, Nitin K. Ingle, Yunyu Wang, Young Lee
  • Patent number: 9236267
    Abstract: A method for patterning a plurality of features in a non-rectangular pattern, such as on an integrated circuit device, includes providing a substrate including a surface with a plurality of elongated protrusions, the elongated protrusions extending in a first direction. A first layer is formed above the surface and above the plurality of elongated protrusions, and patterned with an end cutting mask. The end cutting mask includes two nearly-adjacent patterns with a sub-resolution feature positioned and configured such that when the resulting pattern on the first layer includes the two nearly adjacent patterns and a connection there between. The method further includes cutting ends of the elongated protrusions using the pattern on the first layer.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: January 12, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ho Wei De, Kuei-Liang Lu, Ming-Feng Shieh, Ching-Yu Chang
  • Patent number: 9236268
    Abstract: In the manufacturing method of a semiconductor device according to the present embodiment, a resist is supplied on a base material. A template including a first template region having a device pattern and a second template region being adjacent to the device pattern and having supporting column patterns is pressed against the resist on the base material. The resist is cured, thereby transferring the device pattern to the resist on a first material region of the base material corresponding to the first template region and at the same time transferring the supporting column patterns to the resist on a second material region of the base material corresponding to the second template region to form supporting columns. The supporting columns are contacted with the first template region when the device pattern is transferred to a resist supplied to the second material region.
    Type: Grant
    Filed: February 4, 2014
    Date of Patent: January 12, 2016
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Taishi Ishikura, Atsunobu Isobayashi, Akihiro Kajita
  • Patent number: 9236269
    Abstract: Approaches for providing a fin field effect transistor device (FinFET) with a planar block area to enable variable fin pitch and width are disclosed. Specifically, approaches are provided for forming a plurality of fins patterned from a substrate, the plurality of fins comprising: a first set of fins having a variable pitch and a variable width; and a second set of fins having a variable pitch and a uniform width, wherein the first set of fins is adjacent the second set of fins. In one approach, the first set of fins is patterned from the planar block area, which is formed over the substrate, and the second set of fins is formed using a sidewall image transfer (SIT) process.
    Type: Grant
    Filed: April 23, 2014
    Date of Patent: January 12, 2016
    Assignee: GlobalFoundries Inc.
    Inventors: Eric S. Kozarsky, Shiv Kumar Mishra
  • Patent number: 9236270
    Abstract: A pattern having exceptionally small features is printed on a partially fabricated integrated circuit during integrated circuit fabrication. The pattern is printed using an array of probes, each probe having: 1) a photocatalytic nanodot at its tip; and 2) an individually controlled light source. The surface of the partially fabricated integrated circuit comprises a photochemically active species. The active species undergoes a chemical change when contacted by the nanodot, when the nanodot is illuminated by light. To print a pattern, each probe raster-scans its associated nanodot across the surface of the partially fabricated integrated circuit. When the nanodot reaches a desired location, the nanodot is illuminated by the light source, catalyzing a change in the reactive species and, thus, printing at that location. Subsequently, reacted or unreacted species are selectively removed, thereby forming a mask pattern over the partially fabricated integrated circuit.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: January 12, 2016
    Assignee: MICRON TECHNOLOGY, INC.
    Inventor: Gurtej S. Sandhu
  • Patent number: 9236271
    Abstract: A pulsed laser-initiated exfoliation method for patterning a Group III-nitride film on a growth substrate is provided. This method includes providing a Group III-nitride film a growth substrate, wherein a growth substrate/Group III-nitride film interface is present between the Group III-nitride film and the growth substrate. Next, a laser is selected that provides radiation at a wavelength at which the Group III-nitride film is transparent and the growth substrate is absorbing. The interface is then irradiated with pulsed laser radiation from the Group III-nitride film side of the growth substrate/Group III-nitride film interface to exfoliate a region of the Group III-nitride from the growth substrate. A method for transfer a Group-III nitride film from a growth substrate to a handle substrate is also provided.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Can Bayram, Stephen W. Bedell, Devendra K. Sadana, Katherine L. Saenger
  • Patent number: 9236272
    Abstract: An etching apparatus includes: a chamber configured to accommodate a substrate to be processed having an etching target film; a gas exhaust mechanism configured to exhaust an inside of the chamber; an etching gas supply mechanism configured to supply an etching gas into the chamber; and a gas cluster generation mechanism configured to generate a gas cluster in the chamber by spraying a cluster gas into the chamber, wherein a gas produced by a reaction when the etching target film is etched with the etching gas is discharged from the chamber by the gas cluster generated by the gas cluster generation mechanism.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: January 12, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shuji Moriya
  • Patent number: 9236273
    Abstract: An integrated circuit device includes a lightly doped region such as the base region of a bipolar junction transistor within a semiconductor body. The device further includes a UV barrier layer formed over the lightly doped region. The UV barrier protects the lightly doped region from damage that can occur during high energy plasma etching or UV irradiation to erase EPROM.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: January 12, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun Hao Liao, Chu Fu Chen, Chin-Lung Chen, Victor Chiang Liang, Mingo Liu
  • Patent number: 9236274
    Abstract: A method of processing a glass substrate for use in semi-conductor packaging applications. Through holes are created in a glass substrate and subsequently filled with a metallized paste material. The glass substrate is planarized after metallization to clean and flatten a surface of the glass substrate. The surface of the glass substrate is coated with at least one redistribution layer of a metal, a metal oxide, an alloy, a polymer, or a combination thereof. The paste material has improved adhesion to the through-holes. The filled through-holes are hermetic and have a low resistivity.
    Type: Grant
    Filed: December 12, 2014
    Date of Patent: January 12, 2016
    Assignee: TRITON MICROTECHNOLOGIES
    Inventors: Tim Mobley, Roupen Leon Keusseyan
  • Patent number: 9236275
    Abstract: A MEMS acoustic transducer is provided, which includes a substrate, a MEMS chip, and a housing. The substrate has a first opening area and a lower electrode layer disposed over a surface of the substrate, wherein the first opening area includes at least one hole allowing acoustic pressure to enter the MEMS acoustic transducer. The MEMS chip is disposed over the surface of the substrate, including a second opening area and an upper electrode layer partially sealing the second opening area, wherein the upper electrode layer and the lower electrode layer, which are parallel to each other and have a gap therebetween, form an induction capacitor. The housing is disposed over the MEMS chip or the surface of the substrate creating a cavity with the MEMS chip or the substrate. In addition, a method for fabricating the above MEMS acoustic transducer is also provided.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: January 12, 2016
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chien-Nan Yeh, Chin-Hung Wang, Hsin-Li Lee, Jien-Ming Chen, Tzong-Che Ho, Li-Chi Pan
  • Patent number: 9236276
    Abstract: In a manufacturing method of a semiconductor device, a semiconductor chip is sealed with a resin, and then a laser is applied to remove the resin so that a part of the semiconductor chip is exposed. The semiconductor chip is made of a material that has a lower absorptivity of the laser than the resin and is not melted by the laser. The laser has a wavelength that passes through the semiconductor chip and has a lower absorptivity in the semiconductor chip than in the resin. The laser is applied to the resin from a side adjacent to one of plate surfaces of the semiconductor chip, so that the resin sealing the one of the plate surfaces is sublimated and removed and at least a part of the resin sealing the other of the plate surfaces is subsequently sublimated and removed by the laser having passed through the semiconductor chip.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: January 12, 2016
    Assignee: DENSO CORPORATION
    Inventors: Koji Hashimoto, Masamoto Kawaguchi, Masahiro Honda, Takashige Saito
  • Patent number: 9236277
    Abstract: An integrated circuit includes a substrate and at least one chip. Each chip is disposed over the substrate or the other chip. Solder bumps are disposed between the substrate and the at least one chip. An insulating film is disposed around the solder bumps and provides electrical insulation for the solder bumps except areas for interconnections. A thermally conductive underfill is disposed between the substrate, the at least one chip, and the solder bumps.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: January 12, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Tien-I Bao
  • Patent number: 9236278
    Abstract: A method of manufacture of an integrated circuit packaging system includes: providing a dummy-die paddle having a first inactive side facing up, a second inactive side facing down; forming an insulator in a single continuous structure around and in direct contact with the first inactive side; and mounting an integrated circuit over the dummy-die paddle and the insulator, the integrated circuit and the dummy-die paddle having the same coefficient of thermal expansion as the dummy-die paddle.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: January 12, 2016
    Assignee: STATS ChipPAC Ltd.
    Inventors: Rui Huang, Xusheng Bao, Kang Chen, Yung Kuan Hsiao, Hin Hwa Goh
  • Patent number: 9236279
    Abstract: A method and system for cleaning a surface of a substrate after an etching operation includes determining a plurality of process parameters associated with the surface of the substrate. A plurality of application chemistries are identified based on the process parameters. The plurality of application chemistries includes a first application chemistry as an emulsion having a first immiscible liquid combined with a second immiscible liquid and solid particles distributed within the first immiscible liquid. The plurality of application chemistries including the first application chemistry are applied to the surface of the substrate such that the combined chemistries enhance the cleaning process by substantially removing the particulate and polymer residue contaminants from the surface of the substrate while preserving the characteristics of the features and of the low-k dielectric material through which the features are formed.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: January 12, 2016
    Assignee: Lam Research Corporation
    Inventors: Seokmin Yun, Ji Zhu, John M. deLarios, Mark Wilcoxson
  • Patent number: 9236280
    Abstract: Disclosed is a substrate processing apparatus including a processing vessel in which a target substrate W is processed by using a high-pressure fluid in a supercritical state or a subcritical state, and pipes that are divided into a first pipe member and a second pipe member in a flowing direction of the fluid and circulate the fluid are connected to processing vessel. A connecting/disconnecting mechanism moves at least one of first and second pipe members between a connection position and a separation position of first pipe member and the second pipe member, and opening/closing valves are installed in each of first and second pipe members and are closed at the time of separating pipe members.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: January 12, 2016
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Mitsuaki Iwashita, Yuji Kamikawa, Mikio Nakashima
  • Patent number: 9236281
    Abstract: A multi-ingot furnace for the growth of crystalline semiconductor material has one or more heating devices for heating a hot zone in which crucibles containing semiconductor material are received. At least one of the heating devices is arranged to apply a predetermined differential heat flux profile across a horizontal cross-section of the semiconductor material in one or more of the crucibles, the predetermined differential heat flux profile being selected in dependence the position of the one or more crucibles in an array. In this manner, the heating device can at least partially compensate for differences in the temperature across the semiconductor material that arises from its geometric position in the furnace. This reduces the possibility of defects such as dislocations during the growth of a crystalline semiconductor material. Associated methods are also disclosed.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: January 12, 2016
    Assignee: REC SOLAR PTE, LTD.
    Inventors: Per Bakke, Egor Vladimirov, Pouria Homayonifar, Alexandre Teixeira
  • Patent number: 9236282
    Abstract: The invention relates to a multilayer body arrangement, which comprises at least two multilayer bodies each having at least one surface to be processed as well as at least one device for positioning the multilayer bodies, wherein the device is configured such that the respective surfaces to be processed are opposite each other and thus form a quasi-closed processing space disposed between the surfaces, in which the processing occurs. It further relates to a system for processing multilayer bodies with such a multilayer body arrangement, as well as a method for processing multilayer bodies, wherein the multilayer bodies are disposed such that the respective surfaces to be processed are opposite each other and thus form a quasi-closed processing space disposed between the surfaces, in which the processing occurs.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: January 12, 2016
    Assignee: Saint-Gobain Glass France
    Inventors: Stefan Jost, Joerg Palm, Martin Fuerfanger
  • Patent number: 9236283
    Abstract: A chamber apparatus including a chamber which accommodates a substrate having a coating film formed thereon; a first heating part which is accommodated in the chamber and disposed on a first face side of the substrate; a second heating part which is accommodated in the chamber and disposed on a second face side of the substrate opposite to the first face; and a pressure control part which is capable of pressurizing and depressurizing inside of the chamber in a heated state.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: January 12, 2016
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Tsutomu Sahoda, Yoshiaki Masu, Takashi Maruyama
  • Patent number: 9236284
    Abstract: Methods of and apparatuses for dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. In an example, a tape frame lift assembly for a plasma processing chamber includes a capture single ring having an upper surface for supporting a tape frame of a substrate support and for cooling the tape frame. The tape frame lift assembly also includes one or more capture lift arms for moving the capture single ring to and from transfer and processing positions. The tape frame assembly also includes one or more captured lift plate portions, one captured lift plate portion corresponding to one capture lift arm, the one or more captured lift plate portions for coupling the one or more capture lift arms to the capture single ring.
    Type: Grant
    Filed: January 31, 2014
    Date of Patent: January 12, 2016
    Assignee: Applied Materials, Inc.
    Inventor: Alan Hiroshi Ouye
  • Patent number: 9236285
    Abstract: The present invention enables adjustment of a motion range of a workpiece conveying mechanism by a simple configuration. Provided is a workpiece conveying device including a simple motion range adjusting mechanism for conveying workpieces of different sizes. According to one embodiment of the invention, the workpiece conveying device for conveying workpieces includes a workpiece holding mechanism configured to operate to hold and release the workpiece. The workpiece conveying device also includes an actuator equipped with a movable member directly or indirectly connected to the workpiece holding mechanism to drive the workpiece holding mechanism. The workpiece conveying device further includes a stopper device having a male member and a female member, and these male member and female member are configured to engage with each other in at least two different arrangements, thereby defining at least two predefined discontinuous holding positions of the workpiece holding mechanism connected to the movable member.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: January 12, 2016
    Assignee: EBARA CORPORATION
    Inventor: Hiroaki Nishida
  • Patent number: 9236286
    Abstract: Disclosed herein is a MEMS sensor module package. The MEMS sensor module package according to a preferred embodiment of the present invention includes: a printed circuit board (PCB); an application specific integrated circuit (ASIC) stacked on the PCB, one side of the ASIC being wire-bonded to the PCB; a MEMS sensor stacked on the ASIC; and a molding encapsulating the MEMS sensor and the ASIC with a resin. Accordingly, the electrical connection distance between a MEMS sensor and an ASIC is shortened so that electrical characteristic may be improved. Further, a sensor module package may be implemented in an ASIC size, so that size reduction may be achieved to meet the requirements of mobile devices.
    Type: Grant
    Filed: February 24, 2014
    Date of Patent: January 12, 2016
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Tae Hyun Kim, Heung Woo Park
  • Patent number: 9236287
    Abstract: Photonic SOI devices are formed by lateral epitaxy of a deposited non-crystalline semiconductor layer over a localized buried oxide created by a trench isolation process or by thermal oxidation. Specifically, and after forming a trench into a semiconductor substrate, the trench can be filled with an oxide by a deposition process or a thermal oxidation can be performed to form a localized buried oxide within the semiconductor substrate. In some embodiments, the oxide can be recessed to expose sidewall surfaces of the semiconductor substrate. Next, a non-crystalline semiconductor layer is formed and then a solid state crystallization is preformed which forms a localized semiconductor-on-insulator layer. During the solid state crystallization process portions of the non-crystalline semiconductor layer that are adjacent exposed sidewall surfaces of the substrate are crystallized.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDIES INC.
    Inventors: Solomon Assefa, William M. Green, Marwan H. Khater, Yurii A. Vlasov
  • Patent number: 9236289
    Abstract: Shallow trench isolation structures in a semiconductor device and a method for manufacturing the same. The method include steps hereinafter. A substrate is provided with a pad oxide layer and a first patterned photoresist layer thereon. A first trench is formed in the substrate corresponding to the first patterned photoresist layer. A first dielectric layer is deposited in the first trench and on the substrate. A second patterned photoresist layer is provided to form an opening in the first dielectric layer and a second trench in the substrate corresponding to the second patterned photoresist layer. A second dielectric layer is deposited covering the first trench and the second trench in the substrate and the first dielectric layer on the substrate. The second dielectric layer is removing by chemical-mechanical polishing until the first dielectric layer is exposed. The first dielectric layer on the substrate selectively is removed.
    Type: Grant
    Filed: August 12, 2014
    Date of Patent: January 12, 2016
    Assignee: UNITED MICROELECTRONICS CORPORATION
    Inventors: Ming-Shing Chen, Yu-Ting Wang, Ming-Hui Chang
  • Patent number: 9236290
    Abstract: A method for producing a semiconductor device having a sidewall insulation includes providing a semiconductor body having a first side and a second side lying opposite the first side. At least one first trench is at least partly filled with insulation material proceeding from the first side in the direction toward the second side into the semiconductor body. The at least one first trench is produced between a first semiconductor body region for a first semiconductor device and a second semiconductor body region for a second semiconductor device. An isolating trench extends from the first side of the semiconductor body in the direction toward the second side of the semiconductor body between the first and second semiconductor body regions in such a way that at least part of the insulation material of the first trench adjoins at least a sidewall of the isolating trench. The second side of the semiconductor body is partly removed as far as the isolating trench.
    Type: Grant
    Filed: February 3, 2012
    Date of Patent: January 12, 2016
    Assignee: Infineon Technologies AG
    Inventors: Carsten Ahrens, Rudolf Berger, Manfred Frank, Uwe Hoeckele, Bernhard Knott, Ulrich Krumbein, Wolfgang Lehnert, Berthold Schuderer, Juergen Wagner, Stefan Willkofer
  • Patent number: 9236291
    Abstract: A method of manufacturing a semiconductor device, including (a) forming an interlayer insulating film over a semiconductor substrate; (b) forming a third hard mask film over the interlayer insulating film; (c) forming a second hard mask film over the third hard mask film; (d) forming a first hard mask film over the second hard mask film; (e) after the step (d), forming a first opening in the first hard mask film and a second opening in the second hard mask film by etching the first and second hard mask films, respectively; (f) after the step (e), etching the first hard mask film so as to expand the first opening; and (g) after the step (f), etching the third hard mask film and a part of the interlayer insulating film in the second opening by using the second hard mask film as a mask.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: January 12, 2016
    Assignee: Renesas Electronics Corporation
    Inventor: Tatsuya Usami
  • Patent number: 9236292
    Abstract: Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) is described. In an example, a method of fabricating a metallization structure for an integrated circuit involves forming an exposed surface above a substrate, the exposed surface including regions of exposed dielectric material and regions of exposed metal. The method also involves forming, using a selective metal deposition process, a metal layer on the regions of exposed metal without forming the metal layer on the regions of exposed dielectric material.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: January 12, 2016
    Assignee: Intel Corporation
    Inventors: Patricio E. Romero, Scott B. Clendenning, Jeanette M. Roberts, Florian Gstrein
  • Patent number: 9236293
    Abstract: A system for self-aligning diamagnetic materials includes first and second magnets contacting each other along a contact line and having a diametric magnetization perpendicular to the contact line and a diamagnetic rod positioned to levitate above the contact line of the first and second magnets.
    Type: Grant
    Filed: June 18, 2015
    Date of Patent: January 12, 2016
    Assignee: International Business Machines Corporation
    Inventors: Qing Cao, Oki Gunawan
  • Patent number: 9236294
    Abstract: Embodiments of the disclosure provide a method for forming a semiconductor device structure. The method includes forming a dielectric layer over a semiconductor substrate. The method also includes applying a carbon-containing material over the dielectric layer. The method further includes irradiating the dielectric layer and the carbon-containing material with a light to repair the dielectric layer, and the light has a wavelength greater than about 450 nm.
    Type: Grant
    Filed: January 13, 2014
    Date of Patent: January 12, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Cheng Chou, Chung-Chi Ko, Po-Cheng Shih, Chih-Hung Sun, Kuang-Yuan Hsu, Joung-Wei Liou, Tze-Liang Lee
  • Patent number: 9236295
    Abstract: Provided is a semiconductor apparatus in which a plurality of semiconductor chips stacked in a vertical direction. Each of the semiconductor chips comprises: a bank area comprising a plurality of banks configured to store data; and a peripheral area including a pad area in which a plurality of pads configured to receive signals for controlling the bank area and a plurality of TSV for electrically connecting the plurality of pads, respectively.
    Type: Grant
    Filed: August 29, 2013
    Date of Patent: January 12, 2016
    Assignee: SK Hynix Inc.
    Inventors: Young Hee Yoon, Kang Seol Lee
  • Patent number: 9236296
    Abstract: Various embodiments provide an MIM capacitor and fabrication method thereof. An exemplary MIM capacitor can include a dielectric layer disposed over a substrate containing a conductive layer. The dielectric layer can include a groove to expose the conductive layer in the substrate. A first metal layer can be disposed on a bottom surface and a bottom portion of a sidewall surface of the groove. A top surface of the first metal layer on the sidewall surface of the groove can be lower than a top surface of the dielectric layer. A dielectric material layer can be disposed on the first metal layer and on a top portion of the sidewall surface of the groove. A second metal layer can be disposed on the dielectric material layer; and a third metal layer can be disposed on the second metal layer to fill the groove.
    Type: Grant
    Filed: September 25, 2013
    Date of Patent: January 12, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Zhongshan Hong
  • Patent number: 9236297
    Abstract: Provided are methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Also provided are methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process.
    Type: Grant
    Filed: December 4, 2013
    Date of Patent: January 12, 2016
    Assignee: Novellus Systems, Inc.
    Inventors: Feng Chen, Raashina Humayun, Michal Danek, Anand Chandrashekar
  • Patent number: 9236298
    Abstract: An electronic device includes an interlevel dielectric layer formed over a substrate and has a first set of openings and a second set of openings formed through the interlevel dielectric layer. The substrate includes conductive areas. A conductive contact structure is formed in the first set of openings in the interlevel dielectric layer to make electrical contact with the conductive areas of the substrate. A functional component is formed in the second set of openings in the interlevel dielectric layer and occupies a same level as the conductive contact structure.
    Type: Grant
    Filed: May 20, 2015
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Qinghuang Lin, Dirk Pfeiffer
  • Patent number: 9236299
    Abstract: One method includes forming a barrier layer in a trench/opening in an insulating material, forming a first region of a copper material above the barrier layer, forming a metal layer in the trench/opening on the first region of copper material, forming a second region of copper material on the metal layer, performing at least one CMP process to remove any materials positioned above a planarized upper surface of the layer of insulating material outside of the trench/opening so as to thereby define a structure comprised of the metal layer positioned between the first and second regions of copper material, forming a dielectric cap layer above the layer of insulating material and above the structure, and performing a metal diffusion anneal process to form a metal cap layer adjacent at least the upper surface of a conductive copper structure.
    Type: Grant
    Filed: March 7, 2014
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xunyuan Zhang, Hoon Kim, Christian Witt, Larry Zhao
  • Patent number: 9236300
    Abstract: A method includes forming a dielectric layer over a portion of an SRAM cell. The SRAM cell includes a first pull-up transistor and a second pull-up transistor, a first pull-down transistor and a second pull-down transistor forming cross-latched inverters with the first pull-up transistor and the second pull-up transistor, and a first pass-gate transistor and a second pass-gate transistor connected to drains of the first pull-up transistor and the first pull-down transistor and drains of the second pull-up transistor and the second pull-down transistor, respectively. A first mask layer is formed over the dielectric layer and patterned. A second mask layer is formed over the dielectric layer and patterned. The dielectric layer is etched using the first mask layer and the second mask layer in combination as an etching mask, wherein a contact opening is formed in the dielectric layer. A contact plug is formed in the contact opening.
    Type: Grant
    Filed: November 30, 2012
    Date of Patent: January 12, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jhon-Jhy Liaw
  • Patent number: 9236301
    Abstract: Fabrication of through-substrate via (TSV) structures is facilitated by: forming at least one stress buffer within a substrate; forming a through-substrate via contact within the substrate, wherein the through-substrate via structure and the stress buffer(s) are disposed adjacent to or in contact with each other; and where the stress buffer(s) includes a configuration or is disposed at a location relative to the through-substrate via conductor, at least in part, according to whether the TSV structure is an isolated TSV structure, a chained TSV structure, or an arrayed TSV structure, to customize stress alleviation by the stress buffer(s) about the through-substrate via conductor based, at least in part, on the type of TSV structure.
    Type: Grant
    Filed: July 11, 2013
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Guoxiang Ning, Xiang Hu, Paul Ackmann, Sarasvathi Thangaraju
  • Patent number: 9236302
    Abstract: A semiconductor device has a semiconductor substrate having a first surface and a second surface, a through electrode penetrating through the semiconductor substrate and having a protrusion protruding from the second surface, and an insulation layer on the second surface, which covers the side surface of the protrusion, has an opening through which to expose the end surface of the protrusion, and has a thickness greater than the length of the protrusion.
    Type: Grant
    Filed: May 4, 2015
    Date of Patent: January 12, 2016
    Assignee: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Hikaru Ohira, Tamotsu Owada, Hirosato Ochimizu
  • Patent number: 9236303
    Abstract: Provided are a substrate film and a method of manufacturing the substrate film. The substrate film may have excellent thermal resistance and dimensional stability, has excellent stress relaxation to prevent damage of a wafer caused by remaining stress, inhibits damage to or flying-off of the wafer caused by application of a non-uniform pressure during the processing of the wafer, and has excellent cuttability. Accordingly, the substrate film of the present invention can be effectively used as a processing sheet in a process of processing various kinds of wafers including dicing, back-grinding or picking-up.
    Type: Grant
    Filed: August 14, 2013
    Date of Patent: January 12, 2016
    Assignee: LG Chem, Ltd.
    Inventors: Hyo Sook Joo, Se-Ra Kim, Jung Sup Shim, Suk Ky Chang
  • Patent number: 9236304
    Abstract: A method of manufacturing a semiconductor light emitting device includes forming a plurality of semiconductor light emitting devices on a substrate, the semiconductor light emitting devices having at least one electrode pad formed on upper surfaces thereof; forming a conductive bump by forming a bump core on the electrode pad of each of the semiconductor light emitting devices and forming a reflective bump layer enclosing the bump core; forming a resin encapsulating part containing a phosphor on the plurality of semiconductor light emitting devices to encompass the conductive bump; polishing the resin encapsulating part to expose the bump core of the conductive bump to an upper surface of the resin encapsulating part; and forming individual semiconductor light emitting devices by cutting the resin encapsulating part between the semiconductor light emitting devices.
    Type: Grant
    Filed: January 8, 2014
    Date of Patent: January 12, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Tae Hun Kim, Sung Joon Kim, Su Yeol Lee, Seung Hwan Lee, Tae Sung Jang
  • Patent number: 9236305
    Abstract: Laser and plasma etch wafer dicing where a mask is formed covering ICs formed on the wafer, as well as any bumps providing an interface to the ICs. The semiconductor wafer is coupled to a film frame by an adhesive film. The mask is patterned by laser scribing to provide a patterned mask with gaps. The laser scribing exposes regions of the semiconductor wafer, below thin film layers from which the ICs are formed. The semiconductor wafer is plasma etched through the gaps in the patterned mask while the film frame is maintained at an acceptably low temperature with a chamber shield ring configured to sit beyond the wafer edge and cover the frame. The shield ring may be raised and lowered, for example, on lifter pins to facilitate transfer of the wafer on frame.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: January 12, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Sheng Lei, Saravjeet Singh, Jivko Dinev, Aparna Iyer, Brad Eaton, Ajay Kumar
  • Patent number: 9236306
    Abstract: A method for manufacturing a semiconductor device according to this specification solves the problem in the prior art that the silicon on the edge of an oxide layer in an LDMOS drift region is easily exposed and causes breakdown of an LDMOS device. The method includes: providing a semiconductor substrate comprising an LDMOS region and a CMOS region; forming a sacrificial oxide layer on the semiconductor substrate; removing the sacrificial oxide layer; forming a masking layer on the semiconductor substrate after the sacrificial oxidation treatment; using the masking layer as a mask to form an LDMOS drift region, and forming a drift region oxide layer above the drift region; and removing the masking layer. The method is applicable to a BCD process and the like.
    Type: Grant
    Filed: November 28, 2012
    Date of Patent: January 12, 2016
    Assignee: CSMC TECHNOLOGIES FABI CO., LTD.
    Inventors: Hsiaochia Wu, Shilin Fang, Tsehuang Lo, Zhengpei Chen, Shu Zhang, Yanqiang He
  • Patent number: 9236307
    Abstract: Some embodiments include integrated circuits having first and second transistors. The first transistor is wider than the second transistor. The first and second transistors have first and second active regions, respectively. Dielectric features are associated with the first active region and break up the first active region. The second active region is not broken up to the same extent as the first active region. Some embodiments include methods of forming transistors. Active areas of first and second transistors are formed. The active area of the first transistor is wider than the active area of the second transistor. Dielectric features are formed in the active area of the first transistor. The active area of the first transistor is broken up to a different extent than the active area of the second transistor. The active areas of the first and second transistors are simultaneously doped.
    Type: Grant
    Filed: May 1, 2015
    Date of Patent: January 12, 2016
    Assignee: Micron Technology, Inc.
    Inventor: Michael A. Smith
  • Patent number: 9236308
    Abstract: Methods of fabricating fin structures having exposed upper fin portions with a uniform exposure height are disclosed herein. The fabrication methods include providing a substrate with plurality of fins and a dielectric material disposed between and over the plurality of fins, planarizing the dielectric material and the plurality of fins, and uniformly recessing the dielectric material to a pre-selected depth below upper surfaces of the plurality of fins to expose upper fin portions. The exposed upper fin portions, as a result of uniformly recessing the dielectric material, have a uniform exposure height above the recessed dielectric material. A protective film may be provided over the recessed dielectric material and exposed upper fin portions to preserve the uniform exposure height of the upper fin portions. The uniform exposure height of the exposed upper fin portions facilitates subsequent formation of one or more circuit structures above the substrate.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Min Gyu Sung, Kwan-Yong Lim, Sukwon Hong
  • Patent number: 9236309
    Abstract: Methods of fabricating one or more semiconductor fin structures are provided which include: providing a substrate structure including a first semiconductor material; providing a fin stack(s) above the substrate structure, the fin stack(s) including at least one semiconductor layer, which includes a second semiconductor material; depositing a conformal protective film over the fin stack(s) and the substrate structure; and etching the substrate structure using, at least in part, the fin stack(s) as a mask to facilitate defining the one or more semiconductor fin structures. The conformal protective film protects sidewalls of the at least one semiconductor layer of the fin stack(s) from etching during etching of the substrate structure. As one example, the first semiconductor material may be or include silicon, the second semiconductor material may be or include silicon germanium, and the conformal protective film may be, in one example, silicon nitride.
    Type: Grant
    Filed: April 15, 2015
    Date of Patent: January 12, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Chanro Park, Steven Bentley