Patents Issued in February 1, 2024
  • Publication number: 20240038498
    Abstract: A film deposition apparatus includes a reactive gas supply configured to supply a reactive gas to a first chamber; a source gas supply configured to supply a source gas to a second chamber; a first plasma mechanism configured to generate inductively coupled plasma for activating the reactive gas; a second plasma mechanism configured to generate capacitively coupled plasma for activating the reactive gas activated by the first plasma mechanism and the source gas; and a controller. The second plasma mechanism includes an upper electrode; a lower electrode opposite to the upper electrode; a first high-frequency power supply configured to apply a high-frequency power to the upper electrode via a first matcher; a second high-frequency power supply configured to apply a high-frequency power to the upper electrode via a second matcher; and a third matcher connected to the lower electrode. The third matcher includes a variable capacitor.
    Type: Application
    Filed: October 13, 2023
    Publication date: February 1, 2024
    Inventors: Taehoon PARK, Jaihyung WON, Naeil LEE, Jinhyung PARK, Choonkum BAIK, Hyonam LIM
  • Publication number: 20240038499
    Abstract: An ion source includes a vaporizer, a plasma chamber, and a controller. The vaporizer produces a reaction product by supplying, through a first gas supply line to a crucible in which a solid material is installed, a reactive gas that reacts with the solid material, and vaporizes the reaction product by heating the crucible with a heater. The plasma chamber is supplied with a vapor from the vaporizer through a vapor supply line, and has a second gas supply line connected to the plasma chamber separately from the vapor supply line. The controller controls the heater to heat the crucible while a gas is being supplied from the second gas supply line to the plasma chamber and stops a supply of the reactive gas through the first gas supply line to the crucible.
    Type: Application
    Filed: July 28, 2023
    Publication date: February 1, 2024
    Applicant: Nissin Ion Equipment Co., Ltd.
    Inventors: Yuta IWANAMI, Yuya HIRAI, Suguru ITOI, Weijiang ZHAO
  • Publication number: 20240038500
    Abstract: A plasma processing apparatus includes: a shower head provided above a substrate supporter; a gas supply pipe extending vertically above a chamber to be connected to an upper center of the shower head; an introducer through which the gas supply pipe passes and into which an electromagnetic wave of a VHF or higher is introduced to activate a gas; and an electromagnetic-wave supply path connected to the gas supply pipe. The introducer has a first dissociation space arranged upstream of the shower head and to which a first gas is supplied. The chamber has a second dissociation space between the substrate supporter and the shower head. The first gas dissociated in the first dissociation space and a second gas from the gas supply pipe are joined in the second dissociation space where they are dissociated by a radio-frequency wave having a frequency lower than that of the electromagnetic wave.
    Type: Application
    Filed: December 7, 2021
    Publication date: February 1, 2024
    Inventors: Taro IKEDA, Satoru KAWAKAMI
  • Publication number: 20240038501
    Abstract: A substrate etching method performed by using a plasma processing apparatus includes: providing a substrate including a silicon-containing film to a substrate support; periodically supplying, to the substrate support, bias RF power of 20 kW to 50 kW at a duty ratio of 5% to 50%; and etching the silicon-containing film by plasma generated from a processing gas containing a fluorocarbon gas and an oxygen-containing gas
    Type: Application
    Filed: October 13, 2023
    Publication date: February 1, 2024
    Applicant: Tokyo Electron Limited
    Inventors: Akira NAKAGAWA, Kenji KOMATSU, Kazuma KAMIMURA, Tsukasa HIRAYAMA
  • Publication number: 20240038502
    Abstract: [Object] To improve step coverage of a coating film [Solving Means] A deposition apparatus that includes a first electrode, a second electrode, a first power supply source, a second power supply source, and a phase adjuster is used. The first power supply source includes a first high-frequency power source and a first matching circuit, the first high-frequency power source outputting first high-frequency power, the first matching circuit being connected between the first high-frequency power source and the first electrode. The second power supply source includes a second matching circuit that outputs second high-frequency power, the second high-frequency power having the same period as the first high-frequency power and being lower than the first high-frequency power.
    Type: Application
    Filed: November 9, 2021
    Publication date: February 1, 2024
    Inventors: Toshihiko NAKAHATA, Kazuyoshi HASHIMOTO, Harumasa YAMAGUCHI
  • Publication number: 20240038503
    Abstract: A focus ring and a method for manufacturing the same. The focus ring include a first ring made of a first material, a second ring covered by the first ring, wherein the second ring is made of a second material different from the first material, and a fastening member configured to couple the first ring to the second ring. The fastening member includes a through-part configured to pass through the second ring and a buried part buried in a lower portion of the first ring. The through-part has a first diameter, and the buried part has a second diameter greater than or equal to the first diameter.
    Type: Application
    Filed: July 18, 2023
    Publication date: February 1, 2024
    Inventors: Je Guen YU, Aran AN, Wang Ki CHOI, Eun Young LEE
  • Publication number: 20240038504
    Abstract: A first edge ring for a substrate support is provided. The first edge ring includes an annular-shaped body and one or more lift pin receiving elements. The annular-shaped body is sized and shaped to surround an upper portion of the substrate support. The annular-shaped body defines an upper surface, a lower surface, a radially inner surface, and a radially outer surface. The one or more lift pin receiving elements are disposed along the lower surface of the annular-shaped body and sized and shaped to receive and provide kinematic coupling with top ends respectively of three or more lift pins.
    Type: Application
    Filed: October 5, 2023
    Publication date: February 1, 2024
    Inventors: Alejandro SANCHEZ, Grayson Ford, Darrell Ehrlich, Aravind Alwan, Kevin Leung, Anthony Contreras, Zhumin Han, Raphael Casaes, Joanna Wu
  • Publication number: 20240038505
    Abstract: A plasma processing apparatus includes a wafer support fixture in the chamber and configured to support a wafer, an upper electrode in the chamber and spaced apart from the wafter support fixture, a magnet assembly configured to apply a magnetic field into a chamber, the magnet assembly including a plurality of first magnets and a plurality of second magnets arranged in an annular shape, and a horizontal distance from a central axis of the chamber to each of the plurality of first magnets and each of the plurality of second magnets is less than a radius of the wafer.
    Type: Application
    Filed: April 4, 2023
    Publication date: February 1, 2024
    Inventors: Donghyeon Na, Jaebin Kim, Myeongsoo Shin, Dongseok Han, Kyungsun Kim, Namkyun Kim, Jaesung Kim, Seungbo Shim
  • Publication number: 20240038506
    Abstract: A plasma etching system that includes a plasma processing chamber, a substrate holder disposed in the plasma processing chamber, a RF power source configured to generate a plasma in the plasma processing chamber, a first magnet disposed above the substrate holder, the first magnet configured to apply, in the plasma processing chamber, an azimuthally symmetric magnetic field that is independent from a magnetic field generated by the RF power source, and a second magnet disposed below the substrate holder and configured to modify the azimuthally symmetric magnetic field and create a ring X point between the first magnet and the second magnet, where positions of the first magnet and the second magnet are arranged such that the ring X point is located nearer to an edge of the substrate holder than a center of the substrate holder.
    Type: Application
    Filed: July 28, 2022
    Publication date: February 1, 2024
    Inventors: Barton Lane, Peter Lowell George Ventzek
  • Publication number: 20240038507
    Abstract: A disclosed substrate support is used in a plasma processing apparatus. The substrate support includes a base, an electrostatic chuck, and a plurality of electrodes. The base is formed of ceramic. The electrostatic chuck is disposed on the base. The electrostatic chuck includes a central region, an annular region, and a coating layer. The central region is configured to support a substrate placed thereon. The annular region extends to surround the central region and is configured to support an edge ring placed thereon. The coating layer is formed of ceramic. The coating layer is configuring a surface of the electrostatic chuck. The plurality of electrodes include a first metal layer and a second metal layer. The first metal layer is disposed between the central region and the base. The second metal layer is disposed between the annular region and the base.
    Type: Application
    Filed: July 27, 2023
    Publication date: February 1, 2024
    Applicant: Tokyo Electron Limited
    Inventor: Satoshi TAGA
  • Publication number: 20240038508
    Abstract: Provided is a substrate supporting apparatus with improved durability. The substrate supporting apparatus includes a body configured to support a substrate and formed of a dielectric substance; a heat transfer medium supply hole installed to penetrate the body; a first electrostatic electrode disposed in the body; and a second electrostatic electrode disposed in the body, located on the first electrostatic electrode, and electrically connected to the first electrostatic electrode.
    Type: Application
    Filed: December 11, 2022
    Publication date: February 1, 2024
    Inventors: Jong Gun Lee, So Hyung Jiong, Hyung Joon Kim
  • Publication number: 20240038509
    Abstract: The present disclosure relates to a ceramic susceptor. The ceramic susceptor of the present disclosure may include: an insulating plate in which a RF electrode is disposed; a shaft connected to the insulating plate at one end and comprising a separator at a remaining end; a connection mount having an upper portion connected to the remaining end of the shaft; a first rod and a second rod connected to the RF electrode and penetrating the separator to extend into the connection mount; and a connection member disposed in the connection mount and connecting the first rod and the second rod extending into the connection mount to a draw-in rod, wherein the connection member may include an elastic member configured to absorb a difference in deformation due to heat among the first rod, the second rod, and the draw-in rod.
    Type: Application
    Filed: July 31, 2023
    Publication date: February 1, 2024
    Inventors: Haneum BAE, Jusung LEE
  • Publication number: 20240038510
    Abstract: A substrate processing method includes inserting a substrate from an outside into a processing space, supplying a process gas from a gas supply unit to the processing space, producing plasma based on the process gas, performing an etching process for the substrate using ions included in the plasma, and discharging a processed gas produced in the etching process through a discharge part. The discharge part includes a first slit extending through a flange part, and a second slit connected to the first slit while extending through a side wall part connected to the flange part. A vertical length of the first slit is equal to a vertical length of the second slit. A horizontal length of the first slit is about 5 times to about 7 times the vertical length of the first slit.
    Type: Application
    Filed: September 18, 2023
    Publication date: February 1, 2024
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Changheon LEE, Sangki NAM, Taesun SHIN
  • Publication number: 20240038511
    Abstract: Disclosed is a plasma processing apparatus 100 including: a chamber 101 in which plasma processing is performed; an electrode part 105 configured to be applied with a high-frequency power for generating a plasma in the chamber 101; a plasma sensor 160 for measuring a measured value MV corresponding to an electric potential of the plasma; a threshold determination section 122 for determining a threshold TH, depending on a plasma processing condition; and a judgment section 123 for judging presence or absence of abnormal discharge in the chamber 101, based on the measured value MV and the threshold TH.
    Type: Application
    Filed: July 18, 2023
    Publication date: February 1, 2024
    Inventor: Hiroshi SHIROUZU
  • Publication number: 20240038512
    Abstract: A measurement apparatus for alternating currents and voltages of a physical plasma ignited by applying an alternating high voltage from an alternating high voltage source to a plasma electrode via a high voltage line comprises a current transformer having a measurement winding on a ring core and a cable guide guiding the high voltage line through the ring core. A first measurement alternating voltage dropping over a measurement resistor connected between ends of the measurement winding is a first strictly monotonic increasing function of an amperage of the alternating currents flowing through the high voltage line. A second measurement voltage dropping over a measurement capacitance connected between a center tap of the measurement winding and a reference potential connector is a second strictly monotonic increasing function of an amplitude of the alternating high voltage applied to the plasma electrode with respect to a reference potential at the reference potential connector.
    Type: Application
    Filed: July 27, 2023
    Publication date: February 1, 2024
    Inventors: Mario Hesse, Jannik Schulz, Roland Damm, Wolfgang Viƶl
  • Publication number: 20240038513
    Abstract: A first searcher executes a primary search with respect to a primary library based on a sample mass spectrum. The primary library includes a plurality of standard mass spectra. When a judging unit judges that a search range is to be enlarged, a second searcher executes a secondary search with respect to a secondary library based on the sample mass spectrum. The secondary library includes a plurality of predicted mass spectra produced from a plurality of molecular structures.
    Type: Application
    Filed: July 26, 2023
    Publication date: February 1, 2024
    Inventors: Ayumi Kubo, Masaaki Ubukata, Kenji Nagatomo
  • Publication number: 20240038514
    Abstract: Disclosed herein are charge state deconvolution systems, as well as related methods, computing devices, and computer-readable media. For example, in some embodiments, a charge state deconvolution apparatus includes first logic to identify peaks in a mass spectrum; second logic to deconvolve the masses of the identified peaks and identify clusters of deconvolved mases that have contiguous charge states; and third logic to calculate a Bayesian fitness measure and perform an iterative decremental procedure to perform charge state deconvolution.
    Type: Application
    Filed: June 19, 2023
    Publication date: February 1, 2024
    Inventor: Paul R. Gazis
  • Publication number: 20240038515
    Abstract: Provided is a mass spectrometer including: a reaction chamber (132) into which a precursor ion is introduced; a radical generation part (54) configured to generate a known radical; a radical supply part (5) configured to react the precursor ion with the radical to generate fragment ions and an adduct ion; a measurement control part (63) configured to measure ions including the precursor ion, the fragment ions, and the adduct ion to obtain a mass spectrum; and an accurate mass estimation part (64) configured to specify a peak of the adduct ion by searching a predetermined mass range centered on a mass value obtained by adding a mass of an atom or molecule derived from the radical to a mass obtained from a peak of the precursor ion, and estimate an accurate mass of the precursor ion by subtracting an accurate mass of the atom or molecule from an accurate mass of the peak.
    Type: Application
    Filed: December 23, 2021
    Publication date: February 1, 2024
    Applicant: SHIMADZU CORPORATION
    Inventors: Kazutaka MITSUI, Hidenori TAKAHASHI
  • Publication number: 20240038516
    Abstract: A collision reaction pool ion acceleration apparatus which has extremely low crosstalk. The apparatus comprises an apparatus body, a vacuum chamber, a first tube bundle channel and a second tube bundle channel. The vacuum chamber is fixedly connected to the interior of the apparatus body; the other end of the interior of the apparatus body is fixedly connected to a first insulation seat. A collision chamber is embeddedly connected to the inside the first insulation seat, and a high-frequency electrode quadrupole lens is fixedly connected to two sides of the collision chamber. When charged ions enter the collision chamber, the high-frequency electrode quadrupole lens focuses on the charged ions, so that the incoming charged ions form a new motion trajectory in the collision chamber, and the charged ions are easily separated from the collision chamber, thereby increasing the working efficiency.
    Type: Application
    Filed: December 8, 2021
    Publication date: February 1, 2024
    Applicant: NANJING QLIFE MEDICAL TECHNOLOGY CO., LTD.
    Inventors: Xiaoliang CHENG, Kejia ZHENG
  • Publication number: 20240038517
    Abstract: A device may include a separation capillary having an injection end and a distal end, the injection end configured to receive analyte liquid and the distal end configured to expel the analyte liquid. A device may include a sheath capillary covering the distal end of the separation capillary, the sheath capillary having a diameter that is greater than an outer diameter of the separation capillary at the distal end, the sheath capillary having a first end and a second end, the distal end of the separation capillary positioned between the first end and the second end of the sheath capillary, the sheath capillary carrying a fluid between the second end and the first end. A device may include an acoustic probe configured to vibrate positioned in contact with the at least one of the distal end of the separation capillary or the first end of the sheath capillary.
    Type: Application
    Filed: July 31, 2023
    Publication date: February 1, 2024
    Inventors: Lisa HOLLAND, Stephen J. VALENTINE, Peng LI
  • Publication number: 20240038518
    Abstract: A method of ejecting a plurality of samples from a well plate includes receiving a first sample intensity prediction associated with a first sample in a first well of the well plate. A second sample intensity prediction associated with a second sample in a second well is also received. The second sample intensity prediction is less than the first sample intensity prediction. An ejection time delay value for a subsequent analysis of the first sample and the second sample is determined, based at least in part on the second sample intensity prediction. Thereafter, the first sample is acoustically ejected from the first well, and the second sample is acoustically ejected from the second well.
    Type: Application
    Filed: December 22, 2021
    Publication date: February 1, 2024
    Applicant: DH Technologies Development Pte. Ltd.
    Inventors: Chang LIU, Hui ZHANG
  • Publication number: 20240038519
    Abstract: In some examples, a multipole section-based ion funnel may include an ion funnel section formed by at least one pair of adjacently disposed members. A first member of the at least one pair of adjacently disposed members may include a pole structure. A second member of the at least one pair of adjacently disposed members may include a pole structure that is engageable with the pole structure of the first member to form a multipole structure.
    Type: Application
    Filed: June 30, 2023
    Publication date: February 1, 2024
    Applicant: AGILENT TECHNOLOGIES, INC.
    Inventors: Kenneth R. NEWTON, Tong CHEN, Stephen ZANON
  • Publication number: 20240038520
    Abstract: In some examples, an ion funnel-based collision cell may include an ion funnel entrance section formed by a plurality of adjacently disposed entrance members. Each entrance member of at least one pair of the adjacently disposed entrance members may include a successively larger opening to form a tapered or profiled entrance for ions entering the ion funnel-based collision cell. An insulation material may be disposed adjacent to or in contact with each entrance member of the at least one pair of the adjacently disposed entrance members to prevent, outside of each successively larger opening, flow of gas between each entrance member of the at least one pair of the adjacently disposed entrance members.
    Type: Application
    Filed: June 30, 2023
    Publication date: February 1, 2024
    Applicant: AGILENT TECHNOLOGIES, INC.
    Inventors: Kenneth R. NEWTON, Tong CHEN, Stephen ZANON
  • Publication number: 20240038521
    Abstract: An electrostatic lens for transporting charged particles in an axial direction includes a first group of first electrodes configured to receive a first DC potential from a DC voltage source, and a second group of second electrodes configured to receive a second DC potential from the DC voltage source different from the first DC potential. The first electrodes are interdigitated with the second electrodes. The first group and/or the second group has a geometric feature that progressively varies along the axial direction. The lens generates an axial potential profile that progressively changes along the axial direction, and thereby reduces geometrical aberrations. The lens may be part of a charged particle processing apparatus such as, for example, a mass spectrometer or an electron microscope.
    Type: Application
    Filed: October 12, 2023
    Publication date: February 1, 2024
    Applicant: AGILENT TECHNOLOGIES, INC.
    Inventors: Tong CHEN, Curt A. Flory, Gershon Perelman
  • Publication number: 20240038522
    Abstract: A wide-range ion source for a mass spectrometer comprises a first portion and a second portion that is positioned downstream of the first portion. The first portion includes an anode and a first filament that is positioned proximate the anode and secured in place relative to the anode. The first filament is exposed to a pressure of a process chamber. A first electron repeller has at least a partially circular shape. The second portion includes a tubular anode, a second filament surrounding the tubular anode, an extraction lens defining an opening and a focus lens to conduct ions into a volume.
    Type: Application
    Filed: March 15, 2022
    Publication date: February 1, 2024
    Applicant: INFICON, Inc.
    Inventors: Norbert Mueller, Daniel Vanoni, Jochen Wagner
  • Publication number: 20240038523
    Abstract: The invention generally relates to systems and methods for conducting reactions and screening for reaction products.
    Type: Application
    Filed: October 10, 2023
    Publication date: February 1, 2024
    Inventor: Robert Graham Cooks
  • Publication number: 20240038524
    Abstract: The present disclosure proposes a mass spectrometer including a linear ion trap section and an analyzer that analyzes the ions ejected from the linear ion trap section having a multipole rod electrode including a plurality of segments arranged in a direction of a center axis of the linear ion trap section. A first radio frequency voltage in opposite phase is applied to adjacent rod electrodes. An electrostatic voltage having the same amplitude and a second radio frequency voltage are applied to the segments having the same position in the direction of the center axis. The electrostatic voltage is applied to the segments such that the electrostatic voltage decreases from an inlet to an outlet of the linear ion trap section. The second radio frequency voltage is applied to the segments such that the second radio frequency voltage increases from the inlet to the outlet of the linear ion trap section.
    Type: Application
    Filed: June 26, 2023
    Publication date: February 1, 2024
    Inventors: Masuyuki SUGIYAMA, Shun KUMANO, Akihiro NOJIMA
  • Publication number: 20240038525
    Abstract: The present invention discloses a method for fabricating semiconductor articles comprising the steps of partially cutting a wafer, applying one or more layers of adhesive sheet onto a carrier, transferring the partially cut wafer onto the adhesive sheet on the carrier; grinding a backside of the wafer to a desired thickness to form separated dies, and removing the separated dies from the carrier, wherein the separated dies are removed from the carrier by adhering another layer of adhesive sheet to the backside of the separated dies, in which a heated plate is pressed onto said adhesive sheet thereafter.
    Type: Application
    Filed: December 16, 2021
    Publication date: February 1, 2024
    Applicant: Inari Technology Sdn Bhd
    Inventor: BOON SENG TEOH
  • Publication number: 20240038526
    Abstract: A gas mixture for treating a substrate in a substrate processing system includes hydrogen fluoride gas, a vapor of an alcohol, an additive consisting of a base, and a carrier gas. The gas mixture can be used to treat high aspect ratio (HAR) structures arranged on a surface of a substrate. A surface of the substrate may be spin rinsed using a first rinsing liquid. The first rinsing liquid is spun off from the surface of the substrate. The gas mixture is directed onto the surface of the substrate after the first rinsing liquid is dispensed.
    Type: Application
    Filed: October 11, 2023
    Publication date: February 1, 2024
    Inventors: Ji Zhu, Gerome Michel Dominique Melaet, Nathan Lavdovsky, Rafal Dylewicz, David Mui
  • Publication number: 20240038527
    Abstract: A method includes depositing a flowable film on a substrate by providing a first input flow, the first input flow including plasma effluents of a first precursor, removing a portion of the flowable film from a sidewall of a feature defined within the substrate to obtain a remaining portion of the flowable film by providing a second input flow, the second input flow including plasma effluents of a second precursor, reducing hydrogen content of the remaining portion of the flowable film to obtain a densified film by providing a third input flow, the third input flow including plasma effluents of a third precursor, and treating the densified film in accordance with a film treatment process.
    Type: Application
    Filed: July 26, 2022
    Publication date: February 1, 2024
    Inventors: Bhargav S. Citla, Srinivas D. Nemani, Purvam Modi, Ellie Y. Yieh
  • Publication number: 20240038528
    Abstract: A method for manufacturing a semiconductor structure includes: forming a dielectric layer on a base structure; forming a trench in the dielectric layer to expose the base structure; forming a metal contact in the trench; and performing a plurality of first atomic layer deposition (ALD) cycles to form a plurality of first atomic layers which cover the dielectric layer and the metal contact and which serve as an etch stop layer. Each of the first ALD cycles includes: forming a corresponding one of the first atomic layers; and performing a treatment to convert the corresponding first atomic layer into monocrystalline phase at a temperature not greater than 425° C.
    Type: Application
    Filed: July 28, 2022
    Publication date: February 1, 2024
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kai-Fang CHENG, Hsiao-Kang CHANG
  • Publication number: 20240038529
    Abstract: A method for depositing a metal oxide is provided. The deposition method of a metal oxide includes a first step of introducing a first precursor into a first chamber, a second step of introducing a second precursor into the first chamber, a third step of introducing a third precursor into the first chamber, a fourth step of introducing an oxidizer in a plasma state into the first chamber after each of the first step, the second step, and the third step, and a fifth step of performing microwave treatment. Performing each of the first to fourth steps one or more times is regarded as one cycle, and the fifth step is performed in a second chamber after the one cycle is repeated a plurality of times.
    Type: Application
    Filed: August 17, 2021
    Publication date: February 1, 2024
    Inventors: Shunpei YAMAZAKI, Yuji EGI, Yasuhiro JINBO, Hitoshi KUNITAKE
  • Publication number: 20240038530
    Abstract: There is provided a technique that includes: forming a film containing Si, O and N or a film containing Si and O on a substrate by performing a cycle a predetermined number of times under a condition where SiCl4 is not gas-phase decomposed, the cycle including non-simultaneously performing: (a) forming NH termination on a surface of the substrate by supplying a first reactant containing N and H to the substrate; (b) forming a SiN layer having SiCl termination formed on its surface by supplying the SiCl4 as a precursor to the substrate to react the NH termination formed on the surface of the substrate with the SiCl4; and (c) reacting the SiN layer having the SiCl termination with a second reactant containing O by supplying the second reactant to the substrate.
    Type: Application
    Filed: October 9, 2023
    Publication date: February 1, 2024
    Applicant: Kokusai Electric Corporation
    Inventors: Katsuyoshi HARADA, Yoshitomo HASHIMOTO, Tatsuru MATSUOKA
  • Publication number: 20240038531
    Abstract: A method and apparatus for forming strain relaxed buffers that may be used in semiconductor devices incorporating superlattice structures are provided. The method includes epitaxially depositing a first silicon germanium layer over the substrate. The first silicon germanium layer has a first surface that contacts a frontside surface of the substrate and a second surface opposite the first surface. The first silicon germanium layer has a first thickness and a germanium concentration gradient that increases from the first surface to the second surface. The method further includes epitaxially depositing a silicon germanium capping layer on the first silicon germanium layer. The silicon germanium capping layer has a second thickness and a substantially uniform germanium concentration that is equal to, substantially equal to, or greater than a maximum germanium concentration of the germanium concentration gradient.
    Type: Application
    Filed: January 18, 2023
    Publication date: February 1, 2024
    Inventors: Thomas KIRSCHENHEITER, John TOLLE, Abhishek DUBE, Maribel MALDONADO-GARCIA
  • Publication number: 20240038532
    Abstract: A method for obtaining at least one nitride layer based upon a III-N material includes the successive steps of providing a stack having a support substrate and a plurality of pads, each pad including at least one basal section and one germination section carried by the basal section; modifying the basal section so as to form a modified basal section having a lower rigidity that the basal section before modification; and epitaxially growing a crystallite from the top of at least some of the pads of an assembly and continuing the epitaxial growth so as to form the nitride layer on pads on the assembly.
    Type: Application
    Filed: December 22, 2021
    Publication date: February 1, 2024
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Matthew CHARLES, Guy FEUILLET, Carole PERNEL
  • Publication number: 20240038533
    Abstract: The present disclosure provides a substrate processing method and a substrate processing apparatus which are effective in preventing pattern collapse of an uneven pattern. The substrate processing method according to an exemplary embodiment includes replacing a liquid in a recess of a substrate having an uneven pattern of a negative type resist including a metal formed on a surface of the substrate with a solid-state stiffener, and subjecting the substrate to a molecular weight reduction processing that reduces the number of intermolecular bonds contained in the solid-state stiffener while maintaining the solid-state stiffener in a solid state.
    Type: Application
    Filed: August 10, 2021
    Publication date: February 1, 2024
    Inventors: Hiroki TADATOMO, Makoto MURAMATSU, Kenichi UEDA, Arnaud Alain Jean DAUENDORFFER, Tomoya ONITSUKA, Keisuke YOSHIDA
  • Publication number: 20240038534
    Abstract: The technology relates to a semiconductor device including a hard mask easy to strip and capable of implementing a fine pattern with a high etch selectivity. According to an embodiment of the disclosure, a method for fabricating a semiconductor device comprises forming an etching target layer, forming a hard mask layer on the etching target layer, the hard mask layer including a first boron-doped silicon layer and a second boron-doped silicon layer on the first boron-doped silicon layer, and etching the etching target layer using the hard mask layer as an etching barrier, wherein the second boron-doped silicon layer has a larger boron concentration than the first boron-doped silicon layer.
    Type: Application
    Filed: October 6, 2023
    Publication date: February 1, 2024
    Inventors: Bo Young CHO, Jin Hee PARK, Soo Min JO
  • Publication number: 20240038535
    Abstract: A method of forming a mandrel for use in a pitch doubling process is provided in which a metal hard mask is inserted between a mandrel material layer and a soft mask. The insertion of the metal hard mask allows for easier pattern transfer into the mandrel material layer and avoids many issues encountered during multi-patterning steps. The insertion of the metal hard mask forms a square mandrel that has a flat top due to durability against etch and ability to wet strip the metal hard mask. The metal hard mask can be tuned before pattern transfer into the underlying mandrel material layer to provide a hard mask pattern that is smaller or larger than the pattern without performing such tuning. The method also can be used to protect the downstream non-mandrel processes where selectivity is crucial.
    Type: Application
    Filed: July 28, 2022
    Publication date: February 1, 2024
    Inventors: Joe Lee, Yann Mignot, Christopher J. Penny, Koichi Motoyama
  • Publication number: 20240038536
    Abstract: A plasma processing apparatus includes a load lock chamber switchable between an atmospheric pressure state and a vacuum pressure state, and a substrate processing apparatus configured to transfer a substrate to and from the load lock chamber and to perform a plasma process on a surface of the substrate in a plasma chamber under a vacuum atmosphere. The substrate processing apparatus includes a substrate stage disposed within the plasma chamber and configured to support the substrate, a plasma gas supply configured to supply a plasma gas into the plasma chamber, a steam supply configured to supply a water vapor into the plasma chamber, and a plasma generator configured to generate a plasma in the plasma chamber.
    Type: Application
    Filed: May 18, 2023
    Publication date: February 1, 2024
    Inventors: YONGIN LEE, DONGGAP SHIN, WOOYOUNG KIM, BUMKI MOON, JIWON MOON, INHWA BAEK, SEUNGDAE SEOK, SIWOONG WOO, SEHOON JANG
  • Publication number: 20240038537
    Abstract: The present invention relates to a substrate processing method, and more particularly, to a processing method for substrate for removing impurities from inside a thin film of a substrate and improving characteristics of the thin film.
    Type: Application
    Filed: October 12, 2023
    Publication date: February 1, 2024
    Applicant: WONIK IPS CO., LTD.
    Inventors: Won Jun JANG, Kyung PARK, Young Jun KIM
  • Publication number: 20240038538
    Abstract: The present invention relates to a substrate processing method, and more particularly, to a processing method for substrate for removing impurities from inside a thin film of a substrate and improving characteristics of the thin film.
    Type: Application
    Filed: October 12, 2023
    Publication date: February 1, 2024
    Applicant: WONIK IPS CO., LTD.
    Inventors: Won Jun JANG, Kyung PARK, Young Jun KIM
  • Publication number: 20240038539
    Abstract: Selective deposition of a sacrificial material on a semiconductor substrate, the substrate having a surface with a plurality of regions of substrate materials having different selectivities for the sacrificial material, may be conducted such that substantial deposition of the sacrificial material occurs on a first region of the substrate surface, and no substantial deposition occurs on a second region of the substrate surface. Deposition of a non-sacrificial material may then be conducted on the substrate, such that substantial deposition of the non-sacrificial material occurs on the second region and no substantial deposition of the non-sacrificial material occurs on the first region. The sacrificial material may then be removed such that net deposition of the non-sacrificial material occurs substantially only on the second region.
    Type: Application
    Filed: October 12, 2023
    Publication date: February 1, 2024
    Inventors: Kashish Sharma, Taeseung Kim, Samantha S.H. Tan, Dennis M. Hausmann
  • Publication number: 20240038540
    Abstract: A surface treatment composition of the present invention is a surface treatment composition that are supplied as a vapor to a surface of a wafer having an uneven pattern on the surface and used to form a water-repellent protective film on the surface, the surface treatment composition containing a silylating agent and a solvent, in which the silylating agent contains a trialkylsilylamine, the solvent contains at least one or more selected from the group consisting of glycol ether acetate and glycol acetate, and a total content of the glycol ether acetate and the glycol acetate is 50% by mass or more in 100% by mass of a total amount of the solvent.
    Type: Application
    Filed: February 21, 2022
    Publication date: February 1, 2024
    Inventors: Yoshiharu TERUI, Yuzo OKUMURA, Soichi KUMON
  • Publication number: 20240038541
    Abstract: Methods for cleaning oxides from a substrate surface are performed without affecting low-k dielectric or carbon materials on the substrate. In some embodiments, the method may include performing a preclean process with a chlorine-based soak to remove oxides from a surface of a substrate in a back end of the line (BEOL) process and treating the surface of the substrate with a remote plasma with a hydrogen gas and at least one inert gas to remove residual chlorine residue from the surface of the substrate without damaging low-k dielectric material or carbon material on the substrate.
    Type: Application
    Filed: October 6, 2022
    Publication date: February 1, 2024
    Inventors: Jiajie CEN, Xiaodong WANG, Kevin KASHEFI, Shi YOU
  • Publication number: 20240038542
    Abstract: Some embodiments include an integrated structure having a semiconductor base and an insulative frame over the semiconductor base. The insulative frame has vertically-spaced sheets of first insulative material, and pillars of second insulative material between the vertically-spaced sheets. The first and second insulative materials are different from one another. Conductive plates are between the vertically-spaced sheets and are directly against the insulative pillars. Some embodiments include capacitors, and some embodiments include methods of forming capacitors.
    Type: Application
    Filed: October 6, 2023
    Publication date: February 1, 2024
    Inventors: Eric Freeman, Paolo Tessariol
  • Publication number: 20240038543
    Abstract: In one embodiment, a polishing composition can comprise abrasive particles including zirconia, an oxidizing agent including hydroxylamine and water. The polishing composition can have a high copper removal rate of at least 3500 ?/min, and a polishing selectivity of copper to silicon dioxide(Cu:SiO2) can be at least 2.5:1. In another embodiment, a combination product can comprise a first polishing composition and a second polishing composition, wherein each of the first polishing composition and the second polishing composition can comprise abrasive particles including zirconia and an oxidizing agent including hydroxylamine, wherein a hydroxylamine weight % ratio of the first polishing composition to the second polishing composition may be at least 5:1.
    Type: Application
    Filed: July 27, 2023
    Publication date: February 1, 2024
    Inventors: Renjie ZHOU, Lin FU, Chun-Lung KUAN
  • Publication number: 20240038544
    Abstract: A substrate processing method includes a first oxidation step of heating a substrate at a first temperature by irradiation of light of a first intensity while supplying an oxygen gas or an ozone gas to the substrate, a first etching step of supplying an etching liquid to the substrate to make a surface layer of a molybdenum film that changed to molybdenum trioxide dissolve in the etching liquid, a second oxidation step of heating the substrate at a second temperature by irradiation of light of a second intensity while supplying the oxygen gas or the ozone gas to the substrate, and a second etching step of supplying the etching liquid to the substrate to make the surface layer of the molybdenum film that changed to the molybdenum trioxide dissolve in the etching liquid.
    Type: Application
    Filed: July 28, 2023
    Publication date: February 1, 2024
    Inventor: Hikaru KAWARAZAKI
  • Publication number: 20240038545
    Abstract: A method of forming a conductive layer of a semiconductor device is described. The method includes forming a hard mask layer on a metal layer overlying a substrate, in which the metal layer includes tungsten. The method further includes patterning the hard mask layer until portions of the metal layer are exposed from the patterned hard mask layer. The method further includes performing a plasma process to the metal layer through the patterned hard mask layer until portions of the substrate are exposed from the etched metal layer, in which a process gas mixture used in the plasma process includes a fluorine based gas, a chlorine based gas, and oxygen.
    Type: Application
    Filed: July 27, 2022
    Publication date: February 1, 2024
    Inventor: Yu Shu LIN
  • Publication number: 20240038546
    Abstract: There is provided a plasma etching method capable of selectively etching an etching object containing oxide of at least one of tin and indium compared to a non-etching object. The plasma etching method includes: an etching step of bringing an etching gas containing an unsaturated compound having a fluorine atom and a bromine atom in the molecule thereof into contact with a member to be etched including an etching object to be etched by the etching gas and a non-etching object not to be etched by the etching gas in the presence of plasma, performing etching while applying a bias power exceeding 0 W to a lower electrode supporting the member to be etched, and selectively etching the etching object compared to the non-etching object. The etching object contains oxide of at least one of tin and indium and the non-etching object contains at least one of a silicon-containing compound and a photoresist.
    Type: Application
    Filed: June 24, 2021
    Publication date: February 1, 2024
    Applicant: SHOWA DENKO K.K.
    Inventors: Kazuma MATSUI, Yuki OKA
  • Publication number: 20240038547
    Abstract: A substrative patterning process is provided that forms an interconnect structure including a connector tab located between two adjacent electrically conductive line structures. The connector tab and the two adjacent electrically conductive line structures are of unitary construction and are located in a same metallization level.
    Type: Application
    Filed: July 26, 2022
    Publication date: February 1, 2024
    Inventors: Chanro Park, Koichi Motoyama, Hsueh-Chung Chen, Yann Mignot