Substrate Heater Patents (Class 118/725)
  • Patent number: 11437253
    Abstract: The invention provides a wafer heating pedestal including a shaft connected to a bottom of a plate. The shaft holds a contact array being in contact with plural contact pads of the plate. The contact array includes plural contact columns.
    Type: Grant
    Filed: May 29, 2020
    Date of Patent: September 6, 2022
    Assignee: PIOTECH CO., LTD.
    Inventors: Junichi Arami, Ren Zhou
  • Patent number: 11401608
    Abstract: An atomic layer deposition equipment and an atomic layer deposition process method are disclosed. The atomic layer deposition equipment includes a chamber, a substrate stage, at least one bottom pumping port, at least one hollow component, a baffle and a shower head assembly, wherein the hollow component has an exhaust hole. The baffle is below the hollow component and forms an upper exhaust path with the hollow component, so that the flow field of the precursor in the atomic layer deposition process can be adjusted to a slow flow field to make a uniform deposition on the substrate.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: August 2, 2022
    Assignee: SKY TECH INC.
    Inventors: Jing-Cheng Lin, Ching-Liang Yi, Yun-Chi Hsu, Hsin-Yu Yao
  • Patent number: 11396702
    Abstract: A substrate processing apparatus having an improved film processing uniformity is provided. The substrate processing apparatus includes a partition configured to provide a gas supply channel and a gas supply unit connected to the gas supply channel. A gas flow channel communicating with the gas supply channel is formed in the gas supply unit. A first through-hole is formed to penetrate through at least a part of the partition. A second through-hole is formed to penetrate through at least a part of the gas supply unit. The first through-hole communicates with the gas flow channel via the second through-hole. The second through-hole is arranged between a center and an edge of the gas flow channel, and is arranged spaced apart from the edge.
    Type: Grant
    Filed: January 9, 2021
    Date of Patent: July 26, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: Young Hoon Kim, Yong Gyu Han, Dae Youn Kim, Hyun Soo Jang, Jeong Ho Lee
  • Patent number: 11396714
    Abstract: To stably convey a substrate (workpiece) while suppressing the workpiece from bending. A treatment device is provided. This treatment device includes: a conveying part that conveys a workpiece in a state where a flat surface of the workpiece is inclined around a conveying directional axis relative to a horizontal plane; and a treatment part in which at least one of polishing and cleaning is performed on the flat surface of the workpiece, wherein the conveying part has a drive part configured to be brought into physical contact with an end part of the workpiece and apply force in a conveying direction to the workpiece, a first Bernoulli chuck arranged to face the flat surface of the workpiece, and a second Bernoulli chuck arranged to face an end face of an opposite end part to the end part of the workpiece.
    Type: Grant
    Filed: September 12, 2017
    Date of Patent: July 26, 2022
    Assignee: EBARA CORPORATION
    Inventors: Kenya Ito, Hirohiko Ueda
  • Patent number: 11390950
    Abstract: A system and method for depositing a film within a reaction chamber are disclosed. An exemplary system includes a temperature measurement device, such as a pyrometer, to measure an exterior wall surface of the reaction chamber. A temperature of the exterior wall surface can be controlled to mitigate cleaning or etching of an interior wall surface of the reaction chamber.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: July 19, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: Hyeongeu Kim, Tom Kirschenheiter, Eric Hill, Mark Hawkins, Loren Jacobs
  • Patent number: 11387134
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, a process kit for a substrate support includes: an upper edge ring made of quartz and having an upper surface and a lower surface, wherein the upper surface is substantially planar and the lower surface includes a stepped lower surface to define a radially outermost portion and a radially innermost portion of the upper edge ring.
    Type: Grant
    Filed: January 16, 2019
    Date of Patent: July 12, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad M. Rasheed, Yu Lei, Avgerinos V. Gelatos, Vikash Banthia, Victor H. Calderon, Shi Wei Toh, Yung-Hsin Lee, Anindita Sen
  • Patent number: 11366482
    Abstract: The present application provides a baking equipment applied in a display panel manufacturing process. In the present application, the first and second pipes are communicated with each other and evenly distributed inside the baking plate, so that the heating liquid injected from the head end of the first pipe heats the baking plate evenly during flowing through the first and second pipes, which improves the uniformity of the baking temperature of the TFT array substrate to be baked by the baking plate, thereby ensuring the stability of the baking process of the TFT array substrate.
    Type: Grant
    Filed: March 13, 2020
    Date of Patent: June 21, 2022
    Assignee: TCL China Star Optoelectronics Technology Co., Ltd.
    Inventor: Xiaolong Meng
  • Patent number: 11332821
    Abstract: Several embodiments of the present technology are directed to actively controlling a temperature of a substrate in a chamber during manufacturing of a material or thin film. In some embodiments, the method can include cooling or heating the substrate to have a temperature within a target range, depositing a material over a surface of the substrate, and controlling the temperature of the substrate while the material is being deposited. In some embodiments, controlling the temperature of the substrate can include removing thermal energy from the substrate by directing a fluid over the substrate to maintain the temperature of the substrate within a target range throughout the deposition process.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: May 17, 2022
    Assignee: Technetics Group LLC
    Inventors: Angus McFadden, Jason Wright
  • Patent number: 11326274
    Abstract: Provided is a single crystal growth crucible including a first housing and a second housing, in which a fitting portion between the first housing and the second housing has a first protruding portion, which is provided by protruding inner wall side of the first housing toward the second housing, and a second protruding portion, which is provided by protruding outer wall side of the second housing toward the first housing and covers an outer circumferential surface of the first protruding portion, the first protruding portion is formed such that an outer diameter of a tip portion thereof is larger than that of a base portion thereof in the protruding direction, and the second protruding portion is formed such that an inner diameter of a tip portion thereof is smaller than that of a base portion thereof in the protruding direction, the outer diameter of the tip portion of the first protruding portion is equal to or smaller than the inner diameter of the tip portion of the second protruding portion at room tempe
    Type: Grant
    Filed: June 24, 2020
    Date of Patent: May 10, 2022
    Assignee: SHOWA DENKO K.K.
    Inventor: Yohei Fujikawa
  • Patent number: 11330673
    Abstract: A heater for a semiconductor processing chamber is disclosed that includes a ceramic body, and a resistive heating element embedded in the ceramic body, the resistive heating element disposed in a heater coil having an inner central sector and an outer central sector, the inner central sector having a plurality of first peaks and the outer central sector having a plurality of second peaks, wherein the number of first peaks is less than about fifty-six, and the number of second peaks is less than about eighty.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: May 10, 2022
    Assignee: Applied Materials, Inc.
    Inventor: Govinda Raj
  • Patent number: 11306395
    Abstract: An apparatus and method for depositing a transition metal nitride film on a substrate by atomic layer deposition in a reaction space defined by an at least one chamber wall and showerhead is disclosed. The apparatus may include, a substrate support disposed within the reaction space, the substrate support configured for supporting at least one substrate and a temperature control system for controlling a temperature of the at least one chamber wall at those portions of the at least one chamber wall that is exposed to a vapor phase reactant. The apparatus may also include a temperature control system for controlling a temperature of the showerhead, wherein the temperature control system for controlling a temperature of the showerhead is configured to control the temperature of the showerhead to a temperature of between approximately 80° C. and approximately 160° C.
    Type: Grant
    Filed: June 28, 2017
    Date of Patent: April 19, 2022
    Assignee: ASM IP Holding B.V.
    Inventors: Eric James Shero, Robert Brennan Milligan, William George Petro, Eric Wang, Fred Alokozai, Dong Li, Hao Wang, Melvin Verbaas, Luping Li
  • Patent number: 11302520
    Abstract: Implementations of the disclosure generally provide an improved pedestal heater for a processing chamber. The pedestal heater includes a temperature-controlled plate having a first surface and a second surface opposing the first surface. The temperature-controlled plate includes an inner zone comprising a first set of heating elements, an outer zone comprising a second set of heating elements, the outer zone surrounding the inner zone, and a continuous thermal choke disposed between the inner zone and the outer zone, and a substrate receiving plate having a first surface and a second surface opposing the first surface, the second surface of the substrate receiving plate is coupled to the first surface of the temperature-controlled plate. The continuous thermal choke enables a very small temperature gradient to be created and manipulated between the inner zone and the outer zone, allowing center-fast or edge-fast etching profile to achieve on a surface of the substrate.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: April 12, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tien Fak Tan, Dmitry Lubomirsky, Kirby H. Floyd, Son T. Nguyen, David Palagashvili, Alexander Tam, Shaofeng Chen
  • Patent number: 11280023
    Abstract: A film formation apparatus is configured to epitaxially grow a film on a surface of a substrate, and the film formation apparatus may include: a stage configured to allow the substrate to be mounted thereon; a heater configured to heat the substrate; a mist supply source configured to supply mist of a solution that comprises a solvent and a material of the film dissolved in the solvent; a heated-gas supply source configured to supply heated gas that comprises gas constituted of a same material as a material of the solvent and has a higher temperature than the mist; and a delivery device configured to deliver the mist and the heated gas to the surface of the substrate.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: March 22, 2022
    Assignees: DENSO CORPORATION, NATIONAL UNIVERSITY CORPORATION KYOTO INSTITUTE OF TECHNOLOGY
    Inventors: Tatsuji Nagaoka, Hiroyuki Nishinaka, Daisuke Tahara, Masahiro Yoshimoto
  • Patent number: 11242600
    Abstract: Embodiments of the disclosure relate to faceplates for a processing chamber. In one example, a faceplate includes a body having a plurality of apertures formed therethrough. A heating element is disposed within the body, and the heating element circumscribes the plurality of apertures. A support ring is disposed in the body. The support ring circumscribes the heating element. The support ring includes a main body and a cantilever extending radially inward from the main body. The cantilever contacts the body of the faceplate.
    Type: Grant
    Filed: June 17, 2020
    Date of Patent: February 8, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Amit Kumar Bansal, Saket Rathi, Tuan Anh Nguyen
  • Patent number: 11236420
    Abstract: The step of removing the reaction product includes a step of loading a dummy wafer on the loading table, a step of increasing the temperature of the loading table, and a step of removing the reaction product after increasing the temperature of the loading table. In the step of increasing the temperature of the loading table, the temperature of the loading table is increased by opening an expansion valve between an output terminal of a condenser and an input terminal of the heat exchange unit, inputting heat to the loading table, opening a flow dividing valve between an output terminal of a compressor and the input terminal of the heat exchange unit, and adjusting an opening degree of the flow dividing valve.
    Type: Grant
    Filed: April 2, 2019
    Date of Patent: February 1, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akiyoshi Mitsumori, Shin Yamaguchi
  • Patent number: 11232963
    Abstract: A substrate processing apparatus, provided with a substrate carrier support to support a substrate carrier thereon. The carrier support comprises a top support surface to support the substrate carrier; a thermally insulating body of thermally insulating material; and, a primary heater to heat the carrier support. The thermally insulating body is provided at least between the support surface and the primary heater.
    Type: Grant
    Filed: October 3, 2018
    Date of Patent: January 25, 2022
    Assignee: ASM IP Holding B.V.
    Inventor: Theodorus Oosterlaken
  • Patent number: 11227785
    Abstract: A substrate transfer system includes a load lock module, an atmospheric transfer module having a first sidewall adjacent to the load lock module and a second sidewall remote from the load lock module, the atmospheric transfer module being connected to the load lock module, and a substrate transfer robot disposed in the atmospheric transfer module. The substrate transfer robot includes a base configured to reciprocate along the first sidewall, a substrate transfer arm disposed on the base, and a flow rectifier surrounding the base, the flow rectifier being configured, upon movement of the base, to create an obliquely downward air flow in a direction opposite to a moving direction of the base.
    Type: Grant
    Filed: February 26, 2021
    Date of Patent: January 18, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Norihiko Amikura, Toshiaki Toyomaki
  • Patent number: 11195736
    Abstract: An object of the present invention is to detach a substrate from a table without damaging the substrate by lift pins. One embodiment of the present invention provides a substrate processing apparatus having a vacuum suction table adapted to have a substrate placed thereon, and a plurality of lift pins disposed along the outer periphery of the vacuum suction table. The lift pins each have a distal end portion including a substrate guide surface capable of guiding the outer peripheral end surface of the substrate, and a proximal end portion including a substrate holding surface extending from the substrate guide surface outwardly in a radial direction of the lift pin.
    Type: Grant
    Filed: December 7, 2016
    Date of Patent: December 7, 2021
    Assignee: EBARA CORPORATION
    Inventors: Naoki Toyomura, Mitsuru Miyazaki, Takuya Inoue
  • Patent number: 11189503
    Abstract: Disclosed are substrate drying methods, photoresist developing methods, and/or photolithography methods. The substrate drying method including providing a drying liquid on a substrate, increasing a pressure of the drying liquid to produce a supercritical fluid, and removing the supercritical fluid to dry the substrate may be provided.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: November 30, 2021
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hoo Kim, Kuntack Lee, Yong-Jhin Cho, Chawon Koh, Sunghyun Park, Hyosan Lee, Ji Hoon Cha, Soo Young Choi
  • Patent number: 11143964
    Abstract: A substrate treating method for performing a heat treatment of a substrate that has a treated film formed thereon in a heat treating space of a heat treating chamber. The method includes an exhaust step of exhausting gas within the heat treating space formed by a cover enclosing surroundings of a heat treating plate; an inert gas supply step of supplying inert gas from an upper portion of the heat treating space into the heat treating space and supplying inert gas into a gap between an outer peripheral surface of the heat treating plate and an inner wall of the cover; and a heat treating step of performing the heat treatment of the substrate in the heat treating space. The heat treating step is performed after the exhaust step and the inert gas supply step.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: October 12, 2021
    Inventors: Yasuhiro Fukumoto, Yuji Tanaka, Takeharu Ishii, Tomohiro Matsuo
  • Patent number: 11088009
    Abstract: According to various embodiments, a support table may include: a baseplate including a support structure, the support structure defining a support region over the baseplate to support at least one of a workpiece or a workpiece carrier therein; and one or more light-emitting components disposed between the baseplate and the support region. The one or more light-emitting components are configured to emit light into the support region.
    Type: Grant
    Filed: July 17, 2019
    Date of Patent: August 10, 2021
    Assignee: Infineon Technologies AG
    Inventors: Bernhard Goller, Walter Leitgeb, Daniel Brunner, Lukas Ferlan, Markus Ottowitz
  • Patent number: 11066743
    Abstract: Methods of selectively depositing ruthenium are described. The preferred deposition surface changes based on the substrate temperature during processing. At high temperatures, ruthenium is deposited on a first surface of a conductive material over a second surface of an insulating material. At lower temperatures, ruthenium is deposited on an insulating surface over a conducting surface.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: July 20, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yihong Chen, Yong Wu, Srinivas Gandikota, Abhijit Basu Mallick
  • Patent number: 11062886
    Abstract: An apparatus for controlling wafer uniformity is disclosed. In one example, the apparatus includes: a plurality of temperature control elements and a processor. Each of the temperature control elements corresponds to a different portion of a wafer respectively such that the temperature control elements correspond to different portions of the wafer. Each of the temperature control elements is configured to individually control temperature of a corresponding portion of the wafer. The processor determines at least one portion of the wafer for temperature uniformity control, and instruct at least one of the temperature control elements, corresponding to the at least one portion, to adjust temperature of the at least one portion for controlling temperature uniformity of the wafer.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: July 13, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsiao-Hua Peng, Hann-Ru Chen
  • Patent number: 11056372
    Abstract: Embodiments described herein relate to a substrate support and techniques for controlling a temperature of the same. The substrate support includes a heating element and an over temperature switch disposed therein. The heating element heats the substrate support and a substrate disposed thereon. The over temperature switch controls a temperature of the heating element and the substrate support. The over temperature switch is operable to switch states in response to a temperature of the substrate support exceeding a predefined temperature.
    Type: Grant
    Filed: June 20, 2019
    Date of Patent: July 6, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Brian T. West, Soundarrajan Jembulingam, Dinkesh Huderi Somanna
  • Patent number: 11043403
    Abstract: The inventive concept relates to a substrate support unit. The substrate support unit includes a chuck stage having an inner space defined by a base surface and sidewalls, a heating unit provided in the inner space, the heating unit including a base plate having a disk shape with an opening in the center and a heat generation part mounted on the base plate and having heating light sources that emit light energy, a quartz window that covers the inner space and has an upper surface on which a substrate is placed, and a reflective member that reflects light energy lost in a lateral direction of the chuck stage toward the substrate.
    Type: Grant
    Filed: April 1, 2019
    Date of Patent: June 22, 2021
    Assignee: SEMES CO., LTD.
    Inventor: Hyun-Su Kim
  • Patent number: 11032945
    Abstract: Disclosed herein is a heat shield assembly for a processing chamber. The processing chamber includes a body having sidewalls, a bottom and a lid that define an interior volume. The heat shield assembly is disposed in the interior volume, and includes a heat shield and a preheat member. The preheat member includes an inner circumference, and is positioned below the heat shield. A susceptor is disposed in the interior volume and configured to support a substrate, and is positioned within the inner circumference of the preheat member. An opening is positioned between the susceptor and the preheat member. A first section of the opening is proximate to a gas inlet, and is covered by the heat shield. A second section of the annular opening is proximate a gas outlet, and is not covered by the heat shield member.
    Type: Grant
    Filed: September 10, 2019
    Date of Patent: June 8, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Oki, Yoshinobu Mori
  • Patent number: 11004693
    Abstract: A plurality of flash lamps that irradiate a semiconductor wafer with flash light are arrayed in a plane. The array of the plurality of flash lamps is divided into two zones: a central zone including a region opposed to a central portion of the semiconductor wafer to be treated, and a peripheral zone outside the central zone. During flash light irradiation, an emission time of a flash lamp belonging to the peripheral zone is set to be longer than an emission time of a flash lamp belonging to the central zone. Thus, a greater amount of flash light is applied to the peripheral portion of the semiconductor wafer, where a temperature drop is relatively likely to occur, than to the central portion thereof, thus preventing a relative temperature drop in the peripheral portion of the semiconductor wafer during flash heating.
    Type: Grant
    Filed: May 5, 2016
    Date of Patent: May 11, 2021
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Kazuhiko Fuse
  • Patent number: 10974216
    Abstract: A device for removing polycrystalline silicon rod pairs from a Siemens reactor has a body dimensioned to fit over a single rod pair. Once the rod pair is within the body, the body and enclosed rod pair is removed.
    Type: Grant
    Filed: January 15, 2020
    Date of Patent: April 13, 2021
    Assignee: Wacker Chemie AG
    Inventors: Tobias Weiss, Udo Almasy, Stefan Faerber, Reiner Pech
  • Patent number: 10968517
    Abstract: There is provided a cleaning technique that includes supplying a hydrogen fluoride gas into a process vessel, in which a process of forming an oxide film containing at least one of carbon and nitrogen on a substrate has been performed, to remove a deposit containing at least one of carbon and nitrogen adhered to an interior of the process vessel, wherein the act of supplying the hydrogen fluoride gas is performed under a condition in which an etching rate of the deposit adhered to the interior of the process vessel is higher than an etching rate of a quartz member in the process vessel.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: April 6, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Shin Sone, Masaya Nagato, Kenji Kameda, Kotaro Konno
  • Patent number: 10957562
    Abstract: A heating device includes a base body that has a placement surface for placing a wafer thereon and a back surface that is on an opposite side of the placement surface; a heating resistor that is embedded in the base body; a cylindrical supporting body that has one end surface and the other end surface, the one end surface being connected to the back surface of the base body, the other end surface being on an opposite side of the one end surface; and a supporting-body channel that includes a portion extending in a direction from the other end surface to the one end surface of the cylindrical supporting body, and that is formed within a peripheral wall of the cylindrical supporting body. The supporting-body channel includes an opening portion that opens inwardly from an outer peripheral surface of the cylindrical supporting body.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: March 23, 2021
    Assignee: NGK SPARK PLUG CO., LTD.
    Inventor: Noriaki Tokusho
  • Patent number: 10945312
    Abstract: A heating device includes a holding member and having thereinside a plurality of resistive heating elements connected to different pairs of electrode terminals, and a columnar support member joined to the holding member. A first resistive heating element is disposed throughout a first region including a region that overlaps the columnar support member as viewed from the first direction and a second region that is located around an outer periphery of the first region and that does not overlap the columnar support member as viewed from the first direction. A second resistive heating element is disposed throughout the first region and the second region, and an amount of heat generated by the second resistive heating element per unit area of the first region is larger than an amount of heat generated by the second resistive heating element per unit area of the second region.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: March 9, 2021
    Assignee: NGK SPARK PLUG CO., LTD.
    Inventor: Jun Kurano
  • Patent number: 10903093
    Abstract: A heating device includes: a baseplate; a faceplate provided above the baseplate, the faceplate including a film heater configured to heat a wafer mounted on an upper surface of the faceplate; a sleeve provided between the baseplate and the faceplate, the sleeve including a sleeve body having a vertical through-hole; and a support bolt penetrating the through-hole in the sleeve to support the faceplate on the baseplate, in which a distance from a central axis of the through-hole in the sleeve to a flat surface of an outer portion of the sleeve is less than a distance from the central axis of the through-hole to a locking surface of an inner portion of the sleeve.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: January 26, 2021
    Assignee: KELK Ltd.
    Inventors: Kazuhiko Kubota, Atsushi Kinoshita, Koji Maeda, Keisuke Ishii
  • Patent number: 10903096
    Abstract: Provided herein are approaches for cooling a process chamber window. In some embodiments, a system for process chamber window cooling may include a process chamber for processing a wafer, wherein the process chamber includes a window. In some embodiments, the window allows light from a lamp assembly to be delivered to the wafer. The system further includes a cooling apparatus operable with the process chamber, the cooling apparatus for delivering a gas to the window. The cooling apparatus includes a support ring supporting the window. The support ring includes a perimeter wall, and a plurality of slots formed through the perimeter wall. The plurality of slots may deliver a gas (e.g., air) across the window.
    Type: Grant
    Filed: July 3, 2018
    Date of Patent: January 26, 2021
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Paul E. Pergande, James D. Strassner
  • Patent number: 10883172
    Abstract: Described herein is a technique capable of improving the quality of a template. According to the technique described herein, there is provided a method of manufacturing a lithography template, including: (a) loading a substrate into a process chamber, the substrate having a pattern region and a non-contacting region at center and peripheral portions thereof, respectively; (b) placing the substrate on a substrate support having a protruding portion and a bottom portion such that a back surface of the non-contacting region of the substrate is supported by the protruding portion; (c) heating the substrate by supplying a first hot gas into a space defined by the protruding portion and the bottom portion while supplying a second hot gas into the process chamber; and (d) processing the substrate after performing (c) by supplying a process gas into the process chamber while supplying the first hot gas into the space.
    Type: Grant
    Filed: January 31, 2018
    Date of Patent: January 5, 2021
    Assignee: Kokusai Electric Corporation
    Inventor: Naofumi Ohashi
  • Patent number: 10886157
    Abstract: A wafer holding unit includes a disk-shaped ceramic substrate having a wafer mounting surface on an upper surface of the substrate, an RF electrode, for example, embedded within the substrate, a metal terminal inserted from a lower surface of the substrate, and a connecting terminal which electrically connects the RF electrode and the metal terminal with each other. The connecting terminal is constituted by a ceramic member and a metal layer. The ceramic member is made of the same material as the substrate and preferably has a truncated conical shape. The metal layer covers a surface of the ceramic member. An upper end of the metal layer is connected to the RF electrode, while a lower end of the metal layer is connected to the metal terminal with a metal member interposed therebetween.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: January 5, 2021
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Koichi Kimura, Shigenobu Sakita, Kenji Shinma, Daisuke Shimao, Katsuhiro Itakura, Masuhiro Natsuhara, Akira Mikumo
  • Patent number: 10876205
    Abstract: Herein disclosed are systems and methods related to solid source chemical vaporizer vessels and multiple chamber deposition modules. In some embodiments, a solid source chemical vaporizer includes a housing base and a housing lid. Some embodiments also include a first and second tray configured to be housed within the housing base, wherein each tray defines a first serpentine path adapted to hold solid source chemical and allow gas flow thereover. In some embodiments, a multiple chamber deposition module includes first and second vapor phase reaction chambers and a solid source chemical vaporizer vessel to supply each of the first and second vapor phase reaction chambers.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: December 29, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Mohith Verghese, Eric James Shero, Carl Louis White, Kyle Fondurulia
  • Patent number: 10867832
    Abstract: Apparatus for holding semiconductor wafers during semiconductor manufacturing processes are disclosed. In one embodiment, the apparatus comprises a heat-conductive layer disposed on a supporting base. The apparatus also comprises a plurality of holes formed through the heat-conductive layer and the supporting base. The apparatus further comprises a plurality of heat-conductive lift pins that extend through the holes over the heat-conductive layer at the top end, and make a direct contact with a wafer substrate. The heat-conductive layer and the lift pins are connected to a heating circuit.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: December 15, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chien Ling Hwang
  • Patent number: 10852348
    Abstract: A contact-type testing device that has a heating plate capable of being heated and in which a desired test is conducted while a test object is in contact with the heating plate, the contact-type testing device including: a heating member that heats the heating plate, wherein the heating member includes: a plurality of strips of heat generation bodies; and a power feeding section that feeds electricity to the heat generation bodies, wherein the heat generation bodies are distributed in a planar manner, and a group or all of the heat generation bodies are parallel-connected, wherein a part or all of the heat generation bodies have a steep temperature/resistance characteristic, and resistance values of the heat generation bodies increase with temperature, and wherein the heating member is disposed to face the heating plate, and a gap is provided between the heating plate and the heating member.
    Type: Grant
    Filed: November 7, 2014
    Date of Patent: December 1, 2020
    Assignee: ESPEC CORP.
    Inventor: Hideki Tanaka
  • Patent number: 10831068
    Abstract: A lifting apparatus, ultraviolet irradiation apparatus for alignment, and substrate alignment method. The lifting apparatus comprises: a support plate, provided with multiple lifting rods passing therethrough, each lifting rod being provided with at least one through hole (13) through which a respective lifting rod passes and extends along a vertical direction; an air producing mechanism, in communication with each through hole (13); and a transmission mechanism, disposed at the lower end of the lifting rods.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: November 10, 2020
    Assignees: HKC CORPORATION LIMITED, CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: Chun-Chin Huang
  • Patent number: 10818538
    Abstract: Provided are a wafer holding mechanism for a rotary table and a method and a wafer rotating and holding device, which enable change of a holding position of the wafer during spin processing while maintaining the posture of the wafer, enable reduction of marks of outer peripheral pins due to etching, and enable reduction of insufficient cleaning or uneven cleaning. The wafer holding mechanism for a rotary table comprises a rotary table configured to hold a wafer on an upper surface thereof, and a plurality of movable outer peripheral pins provided in the rotary table and configured to hold an outer periphery of the wafer. The plurality of movable outer peripheral pins comprise a plurality of first movable outer peripheral pins and a plurality of second movable outer peripheral pins configured to hold the wafer at positions different from positions at which the wafer is held by the first movable outer peripheral pins.
    Type: Grant
    Filed: May 18, 2017
    Date of Patent: October 27, 2020
    Assignee: MIMASU SEMICONDUCTOR INDUSTRY CO., LTD.
    Inventors: Ikuo Mashimo, Masaki Tamura, Hideaki Nagai
  • Patent number: 10811271
    Abstract: A substrate processing apparatus includes: a substrate holding member configured to hold a plurality of substrates; a reaction tube configured to accommodate the substrate holding member and process the substrates; a processing gas supply system configured to supply a processing gas into the reaction tube; and an exhaust system configured to exhaust an internal atmosphere of the reaction tube. The reaction tube includes: a cylindrical portion; a gas supply area formed outside one side wall of the cylindrical portion and connected to the processing gas supply system; and a gas exhaust area formed outside the other side wall of the cylindrical portion opposed to the gas supply area and connected to the exhaust system. Each of the gas supply area and the gas exhaust area has an inner wall which partitions the interior of each of the gas supply area and the gas exhaust area into a plurality of spaces.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: October 20, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Hidenari Yoshida, Shigeru Odake, Tomoshi Taniyama, Takayuki Nakada
  • Patent number: 10781518
    Abstract: Embodiments of the disclosure include an electrostatic chuck assembly, a processing chamber and a method of maintaining a temperature of a substrate is provided. In one embodiment, an electrostatic chuck assembly is provided that includes an electrostatic chuck, a cooling plate and a gas box. The cooling plate includes a gas channel formed therein. The gas box is operable to control a flow of cooling gas through the gas channel.
    Type: Grant
    Filed: December 11, 2014
    Date of Patent: September 22, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Brian T. West, Manoj A. Gajendra, Soundarrajan Jembulingam
  • Patent number: 10770329
    Abstract: A gas flow is described to reduce condensation with a substrate processing chuck. In one example, a workpiece holder in the chamber having a puck to carry the workpiece for fabrication processes, a top plate thermally coupled to the puck, a cooling plate fastened to and thermally coupled to the top plate, the cooling plate having a cooling channel to carry a heat transfer fluid to transfer heat from the cooling plate, a base plate fastened to the cooling plate opposite the puck, and a dry gas inlet of the base plate to supply a dry gas under pressure to a space between the base plate and the cooling plate to drive ambient air from between the base plate and the cooling plate.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: September 8, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Hun Sang Kim, Michael D. Willwerth
  • Patent number: 10763141
    Abstract: Embodiments of the disclosure relate to methods for measuring temperature and a tool for calibrating temperature control of a substrate support in a processing chamber without contact with a surface of the substrate support. In one embodiment, a test fixture with a temperature sensor is removably mounted to an upper surface of a chamber body of the processing chamber such that the temperature sensor has a field of view including an area of the substrate support that is adjacent to a resistive coil disposed in the substrate support. One or more calibration temperature measurements of the area of the substrate support are taken by the temperature sensor and simultaneously one or more calibration resistance measurements of the resistive coil are taken corresponding to each calibration temperature measurement. Temperature control of a heating element disposed in the substrate support is calibrated based on the calibration temperature and calibration resistance measurements.
    Type: Grant
    Filed: March 17, 2017
    Date of Patent: September 1, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Niraj Merchant, Lara Hawrylchak, Mehran Behdjat, Dietrich Gage, Christopher Dao, Binh Nguyen, Michael P. Kamp, Mahesh Ramakrishna
  • Patent number: 10755962
    Abstract: A stable and highly reliable device for detecting damage or contact failures of respective parts is provided. The device includes a processing chamber for processing a substrate; a heater for heating the substrate; a substrate support accommodating the heater and installed inside the processing chamber; a shaft for supporting the substrate support; a wire inserted through the shaft; a supporting unit for holding the wire; and a temperature detector connected to the supporting unit.
    Type: Grant
    Filed: March 1, 2016
    Date of Patent: August 25, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kazuya Nabeta, Naoki Ukae, Mitsunori Takeshita
  • Patent number: 10734256
    Abstract: A heater system is provided that includes a base functional layer having at least one functional zone. A substrate is secured to the functional member, and a tuning layer is secured to the substrate opposite the base functional layer. The tuning layer includes a plurality of zones that is greater in number than the zones of the base functional layer, and the tuning layer provides lower power than the base functional layer. A component is secured to the tuning layer opposite the substrate, and the substrate defines a thermal conductivity to absorb and dissipate a requisite amount of power from the base functional layer. A control system is also provided that has a plurality of addressable control elements in electrical communication with power lines and with the tuning layer, the control elements providing selective control of the tuning layer zones.
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: August 4, 2020
    Assignee: Watlow Electric Manufacturing Company
    Inventors: Kevin Ptasienski, Kevin Robert Smith, Cal Thomas Swanson, Philip Steven Schmidt, Mohammad Nosrati, Jacob Lindley, Allen Norman Boldt, Sanhong Zhang, Louis P. Steinhauser, Dennis Stanley Grimard
  • Patent number: 10718053
    Abstract: A wafer loading apparatus capable of making a temperature distribution in a surface of a wafer more uniform is provided. The wafer loading apparatus includes a stage on which a wafer is loaded, and a heater installed in the stage to heat a wafer loaded on a loading surface of the stage. The stage includes a top plate providing the loading surface. The heater includes first heater coils disposed on a surface of the top plate opposite to the loading surface, electrode portions electrically connected to the first heater coils and arranged side by side along an outer peripheral portion of the top plate, and a second heater coil disposed outside the first heater coils. The second heater coil generates heat in such a way that a heat distribution in a circumferential direction is varied corresponding to the arrangement of the electrode portions.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: July 21, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kazuyuki Tomizawa, Masashi Kikuchi, Michio Ishikawa, Naoki Takahashi
  • Patent number: 10714317
    Abstract: A workpiece processing system has a chamber with one or more chamber walls defining surfaces enclosing a chamber volume. One or more chamber wall heaters selectively heat the chamber walls to a chamber wall temperature. A workpiece support within the chamber selectively supports a workpiece having one or more materials having a respective condensation temperature, above which, the one or more materials are respectively in a gaseous state. A heater apparatus selectively heats the workpiece to a predetermined temperature. A controller heats the workpiece to the predetermined temperature by controlling the heater apparatus, heating the one or more materials to respectively form one or more outgassed materials within the chamber volume.
    Type: Grant
    Filed: January 4, 2019
    Date of Patent: July 14, 2020
    Assignee: Axcelis Technologies, Inc.
    Inventor: John F. Baggett
  • Patent number: 10697064
    Abstract: A CVD apparatus includes a process chamber, a susceptor, an auxiliary supporting part, a gas spray part, and a shadow frame. The susceptor may be in the process chamber to support and heat a mother substrate. The auxiliary supporting part may be mounted on the susceptor in a tetragonal frame form to support and heat an edge of the mother substrate supported by the susceptor. The gas spray part may be in the process chamber to face the susceptor and may spray a process gas to the mother substrate. The shadow frame may cover an edge of the auxiliary supporting part and an edge of the susceptor extending from the edge of the auxiliary supporting part.
    Type: Grant
    Filed: September 1, 2015
    Date of Patent: June 30, 2020
    Assignee: LG DISPLAY CO., LTD.
    Inventors: Seung Chul Park, Hee-Yeol Kim
  • Patent number: 10690727
    Abstract: A method for identifying a faulty component in a plasma tool is described. The method includes accessing a measurement of a parameter received from a frequency generator and measurement device. The measurement is generated based on a plurality of radio frequency (RF) signals that are provided to a portion of a plasma tool. The RF signals have one or more ranges of frequencies. The method further includes determining whether the parameter indicates an error, which indicates a fault in the portion of the plasma tool. The method includes identifying limits of the frequencies in which the error occurs and identifying based on the limits of the frequencies in which the error occurs one or more components of the portion of the plasma tool creating the error.
    Type: Grant
    Filed: December 8, 2017
    Date of Patent: June 23, 2020
    Assignee: Lam Research Corporation
    Inventor: Seyed Jafar Jafarian-Tehrani