Substrate Heater Patents (Class 118/725)
  • Patent number: 10685864
    Abstract: Susceptor assemblies comprising a susceptor base and a plurality of pie-shaped skins thereon are described. A pie anchor can be positioned in the center of the susceptor base to hold the pie-shaped skins in place during processing.
    Type: Grant
    Filed: June 7, 2017
    Date of Patent: June 16, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Kaushal Gangakhedkar, Kallol Bera, Joseph Yudovsky
  • Patent number: 10679884
    Abstract: A perforated film electrode for a pinned electrostatic chuck that lies below the top surface of the pins in the valleys or interstices between pins, below the elevation of the top surface of the pins, and is attached to the body of the chuck. In one embodiment, the perforated film electrode assembly features a thin film electrode sandwiched between thin sheets of electrically insulating material. The top, outer or exposed surface of the perforated film electrode assembly has a flatness that is maintained within 3 microns. That is, the distance or elevation between the tops of the pins and the top surface of the perforated film unit is maintained within plus or minus 3 microns. A tool for producing a uniform elevation of the top and bottom sheets or layers of electrically insulating material also is taught.
    Type: Grant
    Filed: August 22, 2017
    Date of Patent: June 9, 2020
    Inventors: Edward Gratrix, Prashant Karandikar, David Casale, Michael Aghajanian, Derek Rollins
  • Patent number: 10679869
    Abstract: A placing table on an embodiment includes a supporting member and a base. The supporting member includes a placing region provided with a heater, and an outer peripheral region surrounding the placing region. The base includes a first region supporting the placing region thereon, and a second region surrounding the first region. In the second region, through holes are formed. Wirings electrically connected to the heater passes through the through holes of the second region.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: June 9, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Dai Kitagawa, Katsuyuki Koizumi, Tsutomu Nagai, Daisuke Hayashi, Satoru Teruuchi
  • Patent number: 10669430
    Abstract: A workpiece support, such as an end effector, is coated on at least one of its surfaces with an anti-reflective material. The anti-reflective material improves the transmission of light through the workpiece support. The workpiece support may be disposed in a chamber, with heating elements disposed beneath the workpiece support, such that the workpiece support is disposed between the heating elements and the workpiece. In certain embodiments, the heating elements may be LEDs or tungsten halogen lamps. The anti-reflective material allows more efficient energy transfer from the heating elements to the workpiece. This may result in improved temperature uniformity across the workpiece. The anti-reflective material may be magnesium fluoride or a multi-layer optical coating. Alternatively, the heating elements may be disposed above the workpiece. In this case, the reduced reflection from the workpiece support may minimize the temperature increase on the portion of the workpiece disposed above the workpiece support.
    Type: Grant
    Filed: July 17, 2018
    Date of Patent: June 2, 2020
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Paul E. Pergande
  • Patent number: 10669632
    Abstract: A processing apparatus includes a processing container, a manifold having an injector supporting part, the injector supporting part being disposed at a lower end of the processing container, extending along an inner wall surface in the processing container and having an insertion hole, and a gas introduction part having a gas flow passage within the gas introduction part to communicate with the insertion hole and an outside of the processing container so that a gas flows in the gas flow passage, an injector inserted and fixed into the insertion hole, the injector entirely extending in a linear manner along the wall surface and having an opening communicating with the gas flow passage at a location where the injector is inserted into the insertion hole, and a gas supplying pipe communicating with and connected to an outer end of the gas flow passage of the gas introduction part.
    Type: Grant
    Filed: November 9, 2016
    Date of Patent: June 2, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Kikuchi, Tsuneyuki Okabe
  • Patent number: 10665752
    Abstract: Aspects of the disclosure provide for mechanisms for forming air voids for semiconductor fabrication. In accordance with some embodiments, a method for forming air voids may include forming a first semiconductor layer including a first group III material and a second group III material on a substrate; forming a plurality of air voids in the first semiconductor layer by removing at least a portion of the second group III material from the first semiconductor layer; and forming a second semiconductor layer on the first semiconductor layer. The second semiconductor layer may include an epitaxial layer of a group III-V material. In some embodiments, the first group III material and the second group III material may be gallium and indium, respectively.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: May 26, 2020
    Assignee: Saphlux, Inc.
    Inventors: Joo Won Choi, Chen Chen, Jie Song
  • Patent number: 10665429
    Abstract: A substrate processing system for depositing film on a substrate includes a processing chamber defining a reaction volume. A showerhead includes a stem portion having one end connected adjacent to an upper surface of the processing chamber. A base portion is connected to an opposite end of the stem portion and extends radially outwardly from the stem portion. The showerhead is configured to introduce at least one of process gas and purge gas into the reaction volume. A plasma generator is configured to selectively generate RF plasma in the reaction volume. An edge tuning system includes a collar and a parasitic plasma reducing element that is located around the stem portion between the collar and an upper surface of the showerhead. The parasitic plasma reducing element is configured to reduce parasitic plasma between the showerhead and the upper surface of the processing chamber.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: May 26, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Hu Kang, Adrien LaVoie, Shankar Swaminathan, Jun Qian, Chloe Baldasseroni, Frank Pasquale, Andrew Duvall, Ted Minshall, Jennifer Petraglia, Karl Leeser, David Smith, Sesha Varadarajan, Edward Augustyniak, Douglas Keil
  • Patent number: 10626500
    Abstract: Embodiments described herein relate to a showerhead having a reflector plate with a gas injection insert for radially distributing gas. In one embodiment, a showerhead assembly includes a reflector plate and a gas injection insert. The reflector plate includes at least one gas injection port. The gas injection insert is disposed in the reflector plate, and includes a plurality of apertures. The gas injection insert also includes a baffle plate disposed in the gas injection insert, wherein the baffle plate also includes a plurality of apertures. A first plenum is formed between a first portion of the baffle plate and the reflector plate, and a second plenum is formed between a second portion of the baffle plate and the reflector plate. The plurality of apertures of the gas injection insert and the plurality of apertures of the baffle plate are not axially aligned.
    Type: Grant
    Filed: March 4, 2019
    Date of Patent: April 21, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kartik Shah, Chaitanya A. Prasad, Kevin Joseph Bautista, Jeffrey Tobin, Umesh M. Kelkar, Lara Hawrylchak
  • Patent number: 10629416
    Abstract: According to various embodiments, a wafer chuck may include at least one support region configured to support a wafer in a receiving area; a central cavity surrounded by the at least one support region configured to support the wafer only along an outer perimeter; and a boundary structure surrounding the receiving area configured to retain the wafer in the receiving area.
    Type: Grant
    Filed: January 23, 2017
    Date of Patent: April 21, 2020
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Rudolf Kogler, Juergen Steinbrenner, Wolfgang Dastel, Harald Huetter, Markus Kahn
  • Patent number: 10604837
    Abstract: A film deposition apparatus includes a process chamber having a substantially cylindrical shape, and a turntable to receive a substrate thereon provided in the process chamber. At least one gas nozzle extends toward a central axis of the turntable from an inner side wall of the process chamber above the turntable along a radial direction of the turntable. At least one side wall heater is provided to cover at least part of the inner side wall of the process chamber and/or at least part of a surrounding area of the central axis of the turntable in a wall-shaped manner.
    Type: Grant
    Filed: February 9, 2016
    Date of Patent: March 31, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Shigehiro Miura
  • Patent number: 10586717
    Abstract: A semiconductor processing apparatus is provided. The apparatus includes a first chamber portion and a second chamber portion movable relative to the first chamber portion between an open position and a closed position. The first chamber portion includes a recessed area formed on an internal surface of the first chamber portion. The first chamber portion also includes one or more through-holes connected to respective locations of the recessed area. When the second chamber portion is in the closed position and a semiconductor wafer is housed in the micro chamber, the recessed area is sealed by a surface of the semiconductor wafer to form a closed channel. The surface may be processed by a processing fluid flowing in the closed channel. Accordingly, a flowing direction and a flowing speed of the processing fluid may be accurately controlled, and an amount of the processing fluid consumed may be greatly reduced.
    Type: Grant
    Filed: December 21, 2015
    Date of Patent: March 10, 2020
    Assignee: WUXI HUAYING MICROELECTRONICS TECHNOLOGY CO., LTD
    Inventors: Sophia Wen, Zhikai Wang
  • Patent number: 10586727
    Abstract: A suction stage may include a mounting section configured to mount a first substrate, and an evacuation section configured to evacuate air between the first substrate and the mounting section. The mounting section includes a ring-shaped first wall part, and a ring-shaped second wall part inside the first wall part. The evacuation section includes a first control valve between the evacuation section and a first region between the first and second wall parts, a second control valve between the evacuation section and a second region inside the second wall part, and a control section configured to control the valves. The control section is configured to control the valves so that suction and non-suction of the first substrate are alternately performed in at least one of the regions. Thus, suction of the first substrate may be deactivated in one of the regions, while the suction is active in the other region.
    Type: Grant
    Filed: September 24, 2014
    Date of Patent: March 10, 2020
    Assignee: Shibaura Mechatronics Corporation
    Inventors: Emi Matsui, Konosuke Hayashi, Takahiro Kanai
  • Patent number: 10580660
    Abstract: A method and system for the dry removal of a material on a microelectronic workpiece are described. The method includes receiving a workpiece having a surface exposing a target layer to be at least partially removed, placing the workpiece on a workpiece holder in a dry, non-plasma etch chamber, and selectively removing at least a portion of the target layer from the workpiece. The selective removal includes operating the dry, non-plasma etch chamber to perform the following: exposing the surface of the workpiece to a chemical environment at a first setpoint temperature in the range of 35 degrees C. to 100 degrees C. to chemically alter a surface region of the target layer, and then, elevating the temperature of the workpiece to a second setpoint temperature at or above 100 degrees C. to remove the chemically treated surface region of the target layer.
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: March 3, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Subhadeep Kal, Nihar Mohanty, Angelique D. Raley, Aelan Mosden, Scott W. Lefevre
  • Patent number: 10557202
    Abstract: Embodiments of the invention may generally provide a method and apparatus that is used to prepare new and used substrate support assemblies for use in typical semiconductor processing environments. Embodiments of the present invention generally relate to a method of coating a new substrate support assembly or a used substrate support assembly that is being refurbished. The deposited coating may include a surface enhancement and/or protective material that is configured to protect one or more of the components exposed to the processing environment during a semiconductor process. The substrate support assembly may be coated with a protective material and during the coating process, the substrate support assembly is maintained at a temperature that is less than or equal to 150° C. by flowing a coolant through channels formed in a base of the substrate support assembly.
    Type: Grant
    Filed: February 21, 2017
    Date of Patent: February 11, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wendell Glen Boyd, Jr., Vijay D. Parkhe, Senh Thach
  • Patent number: 10541159
    Abstract: Embodiments disclosed herein relate to a processing chamber having a lens disposed therein. In one embodiment, the processing chamber includes a chamber body, a substrate support assembly, a light source, and a lens. The chamber body defines an interior volume of the processing chamber. The interior volume has a first area and a second area. The substrate support assembly is disposed in the second area. The substrate support assembly is configured to support a substrate. The light source is disposed above the substrate support assembly in the first area. The lens is disposed between the light source and the substrate support assembly. The lens includes a plurality of features formed therein. The plurality of features is configured to preferentially direct light from the light source to an area of interest on the substrate when disposed on the substrate support assembly.
    Type: Grant
    Filed: May 26, 2016
    Date of Patent: January 21, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Orlando Trejo, Ramprakash Sankarakrishnan, Tza-Jing Gung
  • Patent number: 10533896
    Abstract: There is provided a heat treatment apparatus for performing a predetermined film forming process on a substrate by mounting the substrate on a surface of a rotary table installed in a processing vessel and heating the substrate by a heating part while rotating the rotary table. The heat treatment apparatus includes: a first temperature measuring part of a contact-type configured to measure a temperature of the heating part; a second temperature measuring part of a non-contact type configured to measure a temperature of the substrate mounted on the rotary table in a state where the rotary table is being rotated; and a temperature control part configured to control the heating part based on a first measurement value measured by the first temperature measuring part and a second measurement value measured by the second temperature measuring part.
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: January 14, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuteru Obara, Koji Yoshii, Yuki Wada, Hitoshi Kikuchi
  • Patent number: 10531521
    Abstract: A heater circuit track pattern designed to be coated on a heater plate in order to achieve high uniform heat distribution and fast heating up, low power consumption and prevent current crowding with high fill factor. The heater plate includes a substrate layer which is an electrically insulative, highly thermally conductive, low heat capacity substrate where the heater circuit track pattern has a conductive layer and a resistive layer. The conductive layer has conductive parts such that power pads, main power lines, electrical transfer pads, sub-conductor lines are formed by a highly conductive material to distribute power equally to the resistive layer. The resistive layer has resistive portions including resistive parts formed by a resistive ink to heat up the heater plate.
    Type: Grant
    Filed: August 27, 2014
    Date of Patent: January 7, 2020
    Assignee: ASELSAN ELEKTRONIK SANAYI VE TICARET ANONIM SIRKETI
    Inventors: Nuri Gokhan Korkusuz, Birce Gulec Boyaci
  • Patent number: 10504757
    Abstract: A substrate processing apparatus includes plural heating modules each including a table on which a substrate is placed to be heated, the substrate having plural heated zones. The table has plural heaters each assigned to heat respective ones of the heated zones. Heat generation of the heaters is controlled independently. A control unit controls the heaters such that integrated quantities of heat of the respective heated zones given by the corresponding heaters from first to second time point are substantially identical to each other in each of the heating modules, and are substantially identical to each other among the heating modules. The first time point is set when a temperature transition profile of the substrate is rising toward a process temperature after placing the substrate on the table under a condition where heat generation of the heaters is stable. The second time point is set after the temperature transition profile reaches the process temperature.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: December 10, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Shigetomi, Takeshi Saikusa, Eiichi Sekimoto, Takayuki Fukudome, Kousuke Yoshihara, Suguru Enokida, Kazuhiro Takeshita, Kazuto Umeki
  • Patent number: 10506720
    Abstract: The present invention discloses a carrying device, a wet etching apparatus and a usage method thereof. The carrying device comprises a carrying body and a heating unit both disposed under a to-be-processed substrate, the carrying body is used for carrying the to-be-processed substrate such that the to-be-processed substrate is placed inclined; the heating unit is used for heating the to-be-processed substrate, such that temperature of the to-be-processed substrate rises gradually from a top portion to a bottom portion thereof. In the technical solution of the present invention, by disposing the heating unit under the to-be-processed substrate, the temperature of the to-be-processed substrate rises gradually from the top portion to the bottom portion thereof, thus etch rate of the etchant on the bottom portion of the to-be-processed substrate can be increased, and uniformity of etch rate in the inclined wet etching process is improved.
    Type: Grant
    Filed: January 21, 2016
    Date of Patent: December 10, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Zhiyuan Lin, Yinhu Huang
  • Patent number: 10490427
    Abstract: A substrate treating apparatus is provided which includes a treating container of which a top end is opened, a substrate support unit placed in a treating container to support a substrate, a treatment solution supply unit supplying a treatment solution to a substrate put on the support unit, and a heating unit placed in the substrate support unit to heat the substrate. The heating unit includes a heating element and a reflection element reflecting a heat from the heating element upward.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: November 26, 2019
    Assignee: SEMES CO., LTD.
    Inventors: Jung Bong Choi, Seong Soo Kim, Chan-Young Heo, Oh Jin Kwon
  • Patent number: 10490690
    Abstract: Provided is a method for fabrication of linear luminants with a vertical cylindrical reaction chamber for micro light emitting diode epitaxy, which is a method for forming light emission dices formed of micro light emitting diodes, in which a linear luminant is formed with a vertical cylindrical reaction chamber, in which operations of fabrication of the linear luminant including epitaxy, vapor deposition, etching are carried out so as to achieve the purpose that the linear epitaxial body can be cut and assembled even with an extremely small size and thus yield can be improved.
    Type: Grant
    Filed: June 25, 2018
    Date of Patent: November 26, 2019
    Assignee: NEWGO DESIGN STUDIO
    Inventor: Chun-Lin Tseng
  • Patent number: 10480072
    Abstract: A reactor having a housing that encloses a gas delivery system operatively connected to a reaction chamber and an exhaust assembly. The gas delivery system includes a plurality of gas lines for providing at least one process gas to the reaction chamber. The gas delivery system further includes a mixer for receiving the at least one process gas. The mixer is operatively connected to a diffuser that is configured to diffuse process gases. The diffuser is attached directly to an upper surface of the reaction chamber, thereby forming a diffuser volume therebetween. The diffuser includes at least one distribution surface that is configured to provide a flow restriction to the process gases as they pass through the diffuser volume before being introduced into the reaction chamber.
    Type: Grant
    Filed: June 14, 2016
    Date of Patent: November 19, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Eric James Shero, Mohith E. Verghese, Carl Louis White, Herbert Terhorst, Dan Maurice
  • Patent number: 10480056
    Abstract: A first device is provided. The device includes a print head. The print head further includes a first nozzle hermetically sealed to a first source of gas. The first nozzle has an aperture having a smallest dimension of 0.5 to 500 microns in a direction perpendicular to a flow direction of the first nozzle. At a distance from the aperture into the first nozzle that is 5 times the smallest dimension of the aperture of the first nozzle, the smallest dimension perpendicular to the flow direction is at least twice the smallest dimension of the aperture of the first nozzle.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: November 19, 2019
    Assignee: The Regents of the University of Michigan
    Inventors: Stephen R. Forrest, Gregory McGraw
  • Patent number: 10480075
    Abstract: A graphene synthesis chamber includes: a chamber case in which a substrate including a metal thin film is placed; a gas supply unit which supplies at least one gas comprising a carbon gas into an inner space of the chamber case; a main heating unit which emits at least one light to the inner space to heat the substrate; and at least one auxiliary heating unit which absorbs the at least one light and emits radiant heat toward the substrate.
    Type: Grant
    Filed: June 1, 2017
    Date of Patent: November 19, 2019
    Assignees: NPS Corporation, HANWHA AEROSPACE CO., LTD.
    Inventors: Dong-kwan Won, Won-Sik Nam
  • Patent number: 10475674
    Abstract: A sealing structure is achieved by sandwiching an O ring between an upper chamber window and a chamber side portion and pressing a clamping ring against a top of a peripheral portion of the upper chamber window. Grooving is performed on a lower surface and an upper surface of the peripheral portion of the upper chamber window, to thereby form a plurality of grooves thereon. Flash light traveling into the peripheral portion of the upper chamber window during irradiation with flash light is reflected by the plurality of grooves and is prevented from traveling toward the O ring. This significantly reduces an amount of flash light reaching the O ring, and thus degradation of the O ring due to the irradiation with the flash light can be prevented.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: November 12, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Nobuhiko Nishide, Hiroshi Miyake
  • Patent number: 10438823
    Abstract: A heating apparatus includes a plurality of zone heating apparatuses and a control apparatus. The reference variable of the control apparatus is a susceptor temperature. The controlled variable of the control apparatus is an actual temperature of the susceptor measured by a temperature sensor and the manipulated variable of the control apparatus is the total heating power fed into the heating apparatus. A heating power distributor receives the total heating power as an input variable and provides a zone heating power for each of the zone heating apparatuses as output variables. The sum of the zone heating powers corresponds to the total heating power and the zone heating powers have a specified ratio with respect to each other. In order to specify a robust control loop, the specified ratios are defined by distribution parameters, wherein at least one distribution parameter is a quotient of two zone heating powers.
    Type: Grant
    Filed: August 4, 2014
    Date of Patent: October 8, 2019
    Assignee: AIXTRON SE
    Inventor: Daniel Brien
  • Patent number: 10428425
    Abstract: A film deposition apparatus for sequentially supplying reaction gases, which mutually react, into a chamber to deposit a film on a substrate includes a turntable rotatable and including a concave portion on an upper surface, a bottom portion of the concave portion having a through hole, a substrate supporting member attachable to and detachable from the concave portion, an upper surface of the substrate supporting member mounting the substrate, a lower surface of the substrate supporting member having a first protruding portion, a drive mechanism moving up and down the turntable and revolving the turntable, a lid member located lower than the turntable, an upper surface of the lid member having a second protruding portion, and a control unit revolving the turntable to cause the first protruding portion to contact the second protruding portion and cause the substrate supporting member to be spun a predetermined angle relative to the turntable.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: October 1, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Katsuhiko Oyama, Kiichi Takahashi, Yasushi Takeuchi, Katsuyoshi Aikawa
  • Patent number: 10414660
    Abstract: A method that decomposes monosilane wherein a monosilane-containing gas stream is circulated in a circuit system including a reactor that decomposes the monosilane, the method including injecting a monosilane-containing gas stream into the reactor, bringing the gas stream into contact with a heated surface inside the reactor at which surface a portion of the monosilane in the gas stream is decomposed to deposit a solid silicon layer on the surface so that the concentration of the monosilane in the gas stream decreases, discharging the gas stream from the reactor, reprocessing the gas stream including at least partially compensating the decrease in the monosilane concentration resulting from the decomposition by addition of monosilane, and reinjecting the reprocessed, monosilane-containing gas stream into the reactor, wherein during deposition an operating pressure of 2.5 to 10 bar is established and the gas stream enters the reactor at a velocity of less than 7.5 m/s.
    Type: Grant
    Filed: April 21, 2016
    Date of Patent: September 17, 2019
    Assignee: Schmid Silicon Technology GmbH
    Inventors: Christian Schmid, Georgij Petrik, Jochem Hahn
  • Patent number: 10410908
    Abstract: A plate-like base of a substrate holder has an upper surface perpendicular to a central axis. A supporter is disposed circumferentially around the central part of the base and protrudes upward from the upper surface of the base to support the lower surface of a substrate. A flexible seal is disposed around the entire periphery of the supporter. An upper edge portion of the seal is located above the supporter. When the substrate holder adsorbs a substrate, gas present between the base and the substrate is sucked through a suction port with the upper edge portion of the seal being in contact with the lower surface of the substrate. This allows the substrate to approach the base and come in contact with the supporter while making the seal bend down. As a result, even if there is a warp in a substrate, the substrate can be held suitably.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: September 10, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Hiromitsu Matsuoka, Tomomi Iguchi
  • Patent number: 10407765
    Abstract: An evaporation device has an evaporation material that can be uniformly heated when it is evaporated with the evaporation device. The evaporation device comprises: a crucible for loading an evaporation material, a body wall of the crucible comprising an inner wall and an outer wall, a chamber being formed between the inner wall and the outer wall, which is filled with an inorganic salt; and a heating element for heating the crucible. During the working process of the evaporation device, when the crucible is heated by the heating element, the inorganic salt inside the chamber would become a molten state under heating, and the inorganic salt in molten state which fills the chamber can conduct heat uniformly such that the temperature distribution of the inner wall of the crucible is more uniform during the heating process and the evaporation material can be heated more uniformly. A method of manufacturing an evaporation device and a usage of the evaporation device are also disclosed in this patent application.
    Type: Grant
    Filed: April 15, 2016
    Date of Patent: September 10, 2019
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventor: Liwei Guan
  • Patent number: 10403531
    Abstract: In one embodiment, a semiconductor manufacturing apparatus includes a container to contain wafers, and supporting tables provided in the container so as to be stacked on one another, and each including a supporting face that comes into contact with a wafer to support the wafer. The apparatus further includes supporting columns to join the supporting tables together and provided at positions where the supporting columns are contained inside outer circumferences of the supporting tables. The apparatus further includes a gas feeder to feed a gas to the wafers on the supporting tables, and a gas discharger to discharge the gas fed to the wafers on the supporting tables. Each of the supporting tables includes a first upper face as the supporting face, and a second upper face provided so as to surround the first upper face at a level higher than a level of the first upper face.
    Type: Grant
    Filed: March 8, 2018
    Date of Patent: September 3, 2019
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Fumiki Aiso, Ryota Fujitsuka, Kensei Takahashi, Takayuki Matsui, Tomohisa Iino
  • Patent number: 10388560
    Abstract: A shaft-end mounting structure according to the present invention is a structure to mount an end of a hollow ceramic shaft 20 in a gastight manner on a circumference of a through hole 104 in a base plate 102 of a chamber 100, the shaft 20 being integrated with a ceramic plate 12 on which a wafer is to be placed. A ring member 26 is connected in a gastight manner to an end face of the shaft 20 with a metal layer 28 provided therebetween, the member 26 being composed of a metal material or a metal-ceramic composite material. Bolts 32 extend through the base plate 102 and a metal seal 30 and fasten the member 26 so as to draw the member 26 toward the base plate 102 while the member 26 is placed on the circumference of the through hole 104 with the seal 30 provided therebetween.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: August 20, 2019
    Assignee: NGK Insulators, Ltd.
    Inventor: Hiroshi Takebayashi
  • Patent number: 10378108
    Abstract: Embodiments of the present disclosure relate to a showerhead assembly for use in a processing chamber. The showerhead assembly includes a porous insert disposed in a space defined between a gas distribution plate and a base plate to moderate the corrosive radicals resulting from plasma ignition to reduce particle issues and metal contamination in the chamber. The porous insert is a conductive material, such as metal, used to reduce the gap electrical field strength, or may be a dielectric material such as ceramic, polytetrafluoroethylene, polyamide-imide, or other materials with a low dielectric loss and high electrical field strength under conditions of high frequency and strong electric fields. As such, the electrical breakdown threshold is enhanced. The porous insert may reduce and/or eliminate showerhead backside plasma ignition and may include multiple concentric narrow rings that cover gas holes of the gas distribution plate.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: August 13, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Haitao Wang, Hamid Noorbakhsh, Chunlei Zhang, Sergio Fukuda Shoji, Kartik Ramaswamy, Roland Smith, Brad L. Mays
  • Patent number: 10366882
    Abstract: The present invention provides a technique by which heat can be efficiently recovered from a coolant used to cool a reactor, and contamination with dopant impurities from an inner wall of a reactor when polycrystalline silicon is deposited within the reactor can be reduced to produce high-purity polycrystalline silicon. With the use of hot water 15 having a temperature higher than a standard boiling point as a coolant fed to the reactor 10, the temperature of the reactor inner wall is kept at a temperature of not more than 370° C. Additionally, the pressure of the hot water 15 to be recovered is reduced by a pressure control section provided in a coolant tank 20 to generate steam. Thereby, a part of the hot water is taken out as steam to the outside, and reused as a heating source for another application.
    Type: Grant
    Filed: March 27, 2015
    Date of Patent: July 30, 2019
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shigeyoshi Netsu, Kyoji Oguro, Takaaki Shimizu, Yasushi Kurosawa, Fumitaka Kume
  • Patent number: 10354892
    Abstract: Embodiments of the invention generally relate to a method of cleaning a substrate and a substrate processing apparatus that is configured to perform the method of cleaning the substrate. More specifically, embodiments of the present invention relate to a method of cleaning a substrate in a manner that reduces or eliminates the negative effects of line stiction between semiconductor device features. Other embodiments of the present invention relate to a substrate processing apparatus that allows for cleaning of the substrate in a manner that reduces or eliminates line stiction between semiconductor device features formed on the substrate.
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: July 16, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Steven Verhaverbeke, Han-Wen Chen, Roman Gouk
  • Patent number: 10344382
    Abstract: There is provided a film forming apparatus including a raw material gas nozzle provided with gas discharge holes for discharging a mixed gas of a raw material gas and a carrier gas; a flow regulating plate portion extended along the longitudinal direction of the raw material gas nozzle; a central region configured to supply a separating gas from a center side within a vacuum container toward a substrate loading surface of a rotary table; a protuberance portion protruded from the flow regulating plate portion toward the rotary table at a position shifted toward a center side of the rotary table from the gas discharge holes; and a protuberance portion configured to restrain the separating gas from flowing between the flow regulating plate portion and the rotary table; and an exhaust port configured to vacuum exhaust the interior of the vacuum container.
    Type: Grant
    Filed: May 8, 2015
    Date of Patent: July 9, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hideomi Hane, Takahito Umehara, Takehiro Kasama, Tsubasa Watanabe
  • Patent number: 10327473
    Abstract: The inductively heatable tobacco product for aerosol-generation comprises an aerosol-forming substrate containing a susceptor in the form of a plurality of particles. The aerosol-forming substrate is a crimped tobacco sheet comprising tobacco material, fibers, binder, aerosol-former and the susceptor in the form of the plurality of particles.
    Type: Grant
    Filed: May 21, 2015
    Date of Patent: June 25, 2019
    Assignee: Philip Morris Products S.A.
    Inventor: Oleg Mironov
  • Patent number: 10325801
    Abstract: There is provided a mounting table system which includes: a mounting table rotatably installed so as to mount a substrate thereon; a plurality of heating parts installed in the mounting table, and configured to heat the mounting table; a single power source configured to supply an electric power to the plurality of heating parts; and a power switching part configured to switch from a first heating part among the plurality of heating parts to which the electric power is supplied from the single power source, to a second heating part among the plurality of heating parts, depending on a rotational angle of the mounting table.
    Type: Grant
    Filed: May 15, 2017
    Date of Patent: June 18, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tadashi Mitsunaga, Tetsuya Miyashita, Tatsuo Hatano, Naoki Watanabe
  • Patent number: 10273578
    Abstract: A heating module for use in a substrate processing chamber. The heating module having a housing with a heat source therein. The heating module can be part of a gas distribution assembly positioned above a susceptor assembly to heat the top surface of the susceptor and wafers directly. The heating module can have constant or variable power output. Processing chambers and methods of processing a wafer using the heating module are described.
    Type: Grant
    Filed: October 3, 2014
    Date of Patent: April 30, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Robert T. Trujillo, Kevin Griffin, Garry K. Kwong, Kallol Bera, Li-Qun Xia, Mandyam Sriram
  • Patent number: 10276455
    Abstract: Methods, and corresponding systems, are described that include providing a laser-based measurement tool. An implement of a semiconductor fabrication process tool (e.g., susceptor) is delivered to the laser-based measurement tool where a plurality of measurements is performed of a surface of the implement using a blue wavelength radiation. The measurements are of a distance (e.g., angstroms) from a reference plane and provide an indication of the profile of the surface of the susceptor. As the surface profile of the susceptor can affect layers deposited on target substrates using the susceptor, the measurements provide for a disposition of the susceptor.
    Type: Grant
    Filed: July 29, 2016
    Date of Patent: April 30, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Wei Hung, Charng-Long Lu, Chien-Feng Lin
  • Patent number: 10276385
    Abstract: First irradiation which causes an emission output from a flash lamp to reach its maximum value over a time period in the range of 1 to 20 milliseconds is performed to increase the temperature of a front surface of a semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 1 to 20 milliseconds. This achieves the activation of the impurities. Subsequently, second irradiation which gradually decreases the emission output from the maximum value over a time period in the range of 3 to 50 milliseconds is performed to maintain the temperature of the front surface within a ±25° C. range around the target temperature for a time period in the range of 3 to 50 milliseconds. This prevents the occurrence of process-induced damage while suppressing the diffusion of the impurities.
    Type: Grant
    Filed: April 29, 2016
    Date of Patent: April 30, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Kazuhiko Fuse, Shinichi Kato, Kenichi Yokouchi
  • Patent number: 10266943
    Abstract: Implementations described herein protect a substrate support from corrosive cleaning gases used at high temperatures. In one embodiment, a substrate support has a shaft and a heater. The heater has a body. The body has a top surface, a side surface and a bottom surface. The top surface is configured to support a substrate during plasma processing of the substrate. A covering is provided for at least two of the top surface, side surface and bottom surface. The covering is selected to resist corrosion of the body at temperatures in excess of about 400 degrees Celsius.
    Type: Grant
    Filed: August 20, 2014
    Date of Patent: April 23, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Abdul Aziz Khaja, Ren-Guan Duan, Amit Kumar Bansal, Jianhua Zhou, Juan Carlos Rocha-Alvarez
  • Patent number: 10269557
    Abstract: An apparatus of processing a semiconductor substrate include a chuck, a holder, a liquid supplying system and a positive pressure unit. The chuck has a principal surface and at least a hole formed thereon. The holder is capable of holding a semiconductor substrate at a position above the principal surface. The liquid supplying system is configured to provide a liquid film onto the principal surface through the hole. The positive pressure unit is configured for providing a gas flow to a space over the chuck. A method of processing a semiconductor substrate is disclosed herein as well.
    Type: Grant
    Filed: October 20, 2015
    Date of Patent: April 23, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wei-Chih Hsu, Kai-Lin Chuang, Yuan-Chi Chien, Jeng-Huei Yang, Jun-Xiu Liu
  • Patent number: 10241397
    Abstract: According to one embodiment, an imprint apparatus including multiple types of imprint units and a conveyor to convey a substrate is provided. Each of the imprint units includes a suction mechanism configured to hold the substrate with multiple suction portions on a substrate holder, and a template having an imprint surface on which a concavo-convex pattern is formed on one face of a template substrate and having a recessed region in the other face, the recessed region corresponding to the imprint surface. The imprint units have different depths of the recessed regions in the templates and different arrangements of the suction portions in the suction mechanisms depending on the types.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: March 26, 2019
    Assignee: Toshiba Memory Corporation
    Inventors: Manabu Takakuwa, Yoshihisa Kawamura, Ikuo Yoneda
  • Patent number: 10233540
    Abstract: A loader device for loading porous substrates of three-dimensional shapes extending mainly in a longitudinal direction into a reaction chamber of an infiltration oven for densification of the preforms by directed flow chemical vapor infiltration. The device comprising at least one annular loader stage formed by first and second annular vertical walls arranged coaxially relative to each other and defining between them an annular loader space for the porous substrates to be densified. First and second plates respectively cover the bottom portion and the top portion of the annular loader space. The first and second annular vertical walls include support elements arranged in the annular loader space so as to define between them unit loader cells, each for receiving a respective substrate to be densified. The device also comprises gas feed orifices and gas exhaust orifices in the vicinity of each unit loader cell.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: March 19, 2019
    Assignee: SAFRAN CERAMICS
    Inventors: Sébastien Bertrand, Franck Lamouroux, Stéphane Goujard
  • Patent number: 10221482
    Abstract: A gas distributor for a CVD reactor includes two separate gas distribution chambers, into each of which a process gas can be fed through an infeed opening. Each of the gas distribution chambers is formed, in part, by a gas distribution device disposed in a top layer being in each case flow-connected to connecting channels disposed in a bottom layer. The connecting channels associated with different gas distribution chambers lie alternately adjacent to one another and have gas outlet openings for the process gases to escape. Each of the at least two gas distribution devices has a distribution section, which in each case is flow-connected to a plurality of sub-distribution sections. The connecting channels are flow-connected to at least one of the sub-distribution sections. The sub-distribution sections of different gas distribution chambers lie alternately adjacent to one another and are separated from one another by a dividing wall.
    Type: Grant
    Filed: February 14, 2014
    Date of Patent: March 5, 2019
    Assignee: AIXTRON SE
    Inventors: Thomas Krücken, Baskar Pagadala Gopi, Martin Dauelsberg
  • Patent number: 10221480
    Abstract: There is provided a substrate processing apparatus for processing a substrate by supplying a processing gas to the substrate while revolving the substrate, the substrate processing apparatus including: a rotary table installed within a processing container; a rotating mechanism configured to rotate the rotary table; a support part installed in a rotary shaft of the rotary table below the rotary table; an opening portion formed in the rotary table to correspond to a mounting position where the substrate is mounted; a mounting part rotatably supported by the support part through the opening portion, and configured to mount the substrate thereon such that a height level of an upper surface of the substrate coincides with a height level of an upper surface of the rotary table; and a rotating mechanism configured to rotate the mounting part.
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: March 5, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hitoshi Kato, Yukio Ohizumi, Manabu Honma
  • Patent number: 10202707
    Abstract: Apparatus for processing a substrate are provided herein. In some embodiments, a lamphead for use in substrate processing includes a monolithic member having a contoured surface; a plurality of reflector cavities disposed in the contoured surface, wherein each reflector cavity is shaped to act as a reflector or to receive a replaceable reflector for a lamp; and a plurality of lamp passages, wherein each lamp passage extends into the monolithic member from one of the plurality of reflector cavities.
    Type: Grant
    Filed: April 18, 2013
    Date of Patent: February 12, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph M. Ranish, Paul Brillhart, Satheesh Kuppurao, Dongming Iu
  • Patent number: 10186444
    Abstract: A gas flow is described to reduce condensation with a substrate processing chuck. In one example, a workpiece holder in the chamber having a puck to carry the workpiece for fabrication processes, a top plate thermally coupled to the puck, a cooling plate fastened to and thermally coupled to the top plate, the cooling plate having a cooling channel to carry a heat transfer fluid to transfer heat from the cooling plate, a base plate fastened to the cooling plate opposite the puck, and a dry gas inlet of the base plate to supply a dry gas under pressure to a space between the base plate and the cooling plate to drive ambient air from between the base plate and the cooling plate.
    Type: Grant
    Filed: March 20, 2015
    Date of Patent: January 22, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Hun Sang Kim, Michael D. Willwerth
  • Patent number: 10109466
    Abstract: Provided is a support unit. The support unit includes a support plate having a top surface in which a measurement groove is defined and on which a substrate is placed, and a sensor for measuring a pressure in the measurement groove in the state where the substrate is placed on the support plate. The measurement groove has a main measurement groove that extends from a central area of the support plate up to an edge area of the support plate.
    Type: Grant
    Filed: December 2, 2014
    Date of Patent: October 23, 2018
    Assignee: PSK INC.
    Inventor: Dong Kun Yoo