Substrate Heater Patents (Class 118/725)
  • Patent number: 10074555
    Abstract: Embodiments of the present invention provide apparatus and methods for supporting, positioning or rotating a semiconductor substrate during processing. One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate on a substrate receiving surface of a susceptor, and rotating the susceptor and the substrate by delivering flow of fluid from one or more rotating ports.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: September 11, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Blake Koelmel, Nyi O. Myo
  • Patent number: 10070483
    Abstract: When a solid product of a target component is obtained by heating a container in which a solution containing a target component is housed with a heater and evaporating the solvent in the solution, the amount of heating by the heater is controlled based on the detection results of the temperature of the container detected by a temperature detection part so that the temperature of the container approaches a target temperature. At least one of a starting timing T2 and an ending timing T4 is then discriminated based on the amount of heating by the heater. Since the amount of heating by the heater varies while being sensitively affected by the vaporization heat generated when the solvent evaporates, the starting timing T2 or the ending timing T4 can be accurately discriminated by using the amount of heating by the heater as a discrimination criterion.
    Type: Grant
    Filed: June 8, 2015
    Date of Patent: September 4, 2018
    Assignee: SHIMADZU CORPORATION
    Inventor: Michiaki Owa
  • Patent number: 10053777
    Abstract: Embodiments described herein provide a substrate processing apparatus that includes a vacuum chamber comprising a first dome and a second dome, a substrate support disposed inside the vacuum chamber between the first and second domes, a collimated energy source arranged in a compartmented housing and positioned proximate the second dome, wherein the second dome is between the collimated energy source and the substrate support. At least a portion of the second dome and the substrate support may be optically transparent to the collimated energy from the collimated energy source.
    Type: Grant
    Filed: March 19, 2014
    Date of Patent: August 21, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph M. Ranish, Aaron Muir Hunter
  • Patent number: 10039619
    Abstract: A method of depositing a relatively thin film of bioinert material onto a surgical implant substrate, such as a dental implant. Chemical vapor deposition (CVD) may be used to deposit a layer of tantalum and/or other biocompatible materials onto a solid substrate comprised of an implantable titanium alloy, forming a biofilm-resistant textured surface on the substrate while preserving the material properties and characteristics of the substrate, such as fatigue strength.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: August 7, 2018
    Assignee: Zimmer, Inc.
    Inventors: Joseph R. Vargas, Steven Seelman
  • Patent number: 10043685
    Abstract: An apparatus is provided, by way of example, a heater for use in semiconductor processing equipment, that includes a base functional layer having at least one functional zone. A substrate is secured to the base functional layer, and a tuning layer is secured to the substrate opposite the base functional layer. The tuning layer includes a plurality of zones that is greater in number than the zones of the base functional layer, and the tuning layer has lower power than the base functional layer. Further, a component, such as a chuck by way of example, is secured to the tuning layer opposite the substrate. The substrate defines a thermal conductivity to dissipate a requisite amount of power from the base functional layer.
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: August 7, 2018
    Assignee: Watlow Electric Manufacturing Company
    Inventors: Kevin Ptasienski, Kevin Robert Smith, Cal Thomas Swanson, Philip Steven Schmidt, Mohammad Nosrati, Jacob Lindley, Allen Norman Boldt, Sanhong Zhang, Louis P. Steinhauser, Dennis Stanley Grimard
  • Patent number: 10022745
    Abstract: A spin chuck according to the present invention is provided and is configured to eliminate the wrap of chemical over the wafer edge. The dual speed wafer spin chuck apparatus acts to prevent liquids from affecting the backside of a wafer during processing. An outer ring is placed around the wafer with a narrow gap between the two such that drops of liquid on the surface of the wafer will touch the outer ring as they move to the outermost edge of the wafer. By spinning this outer ring at high speed, centrifugal force causes these drops to be pulled off of the wafer and flung radially outward, thus preventing the liquid from affecting the backside of the wafer.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: July 17, 2018
    Assignee: VEECO PRECISION SURFACE PROCESSING LLC
    Inventors: William Gilbert Breingan, James K. Anders, Herman Itzkowitz
  • Patent number: 10009961
    Abstract: The present disclosure relates to a substrate support and a heating assembly comprising heaters for controlling the temperature uniformity of a susceptor of the assembly and a substrate, which may be used for thin film deposition on a substrate such as semi-conductor wafer, and a method of using the same is provided for improved temperature uniformity of a susceptor and a substrate heated by the heating assembly.
    Type: Grant
    Filed: July 18, 2014
    Date of Patent: June 26, 2018
    Assignee: ASM IP Holding B.V.
    Inventor: Sokol Ibrani
  • Patent number: 9988712
    Abstract: A device for holding at least one substrate in a process chamber of a CVD or PVD reactor includes a flat upper side on which at least one bearing area for the at least one substrate is located. An outline contour line corresponding to the outline contour of the substrate is flanked by positioning edges for positioning a respective section of an edge of the substrate. The device further includes carrying protrusions projecting from a bearing area base surface of the bearing area that is surrounded by the outline contour line. The carrying protrusions have contact surfaces that are raised in relation to the bearing area base surface, on which contact surfaces the substrate can be placed. In order to improve the temperature homogeneity of the surface of the substrate, each of the carrying protrusions originate from a recess of the bearing area base surface.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: June 5, 2018
    Assignee: AIXTRON SE
    Inventors: Eduardo Osman Piniero Sufan, Daniel Claessens, Adam Boyd
  • Patent number: 9991360
    Abstract: A method for fabricating a semiconductor structure includes forming a semiconductor layer over a substrate and forming an aluminum-silicon nitride layer upon the semiconductor layer. When the semiconductor layer in particular comprises a III-V semiconductor material such as a group III nitride semiconductor material or a gallium nitride semiconductor material, the aluminum-silicon nitride material provides a superior passivation in comparison with a silicon nitride material.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: June 5, 2018
    Assignee: CORNELL UNIVERSITY
    Inventors: James R. Shealy, Richard Brown
  • Patent number: 9986598
    Abstract: Substrate temperature control apparatus including groove-routed optical fibers. Substrate temperature control apparatus includes upper and lower members including grooves in one or both, and a plurality of optical fibers routed in the grooves. In one embodiment, the optical fibers are adapted to provide light-based pixelated heating. In another embodiment, embedded optical temperature sensors are adapted to provide temperature measurement. Substrate temperature control systems, electronic device processing systems, and methods including groove-routed optical fiber temperature control and measurement are described, as are numerous other aspects.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: May 29, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Busche, Wendell Boyd, Jr., Dmitry A. Dzilno, Vijay D. Parkhe, Michael R. Rice, Leon Volfovski
  • Patent number: 9976909
    Abstract: An apparatus and method for controlling stray radiation within a CVD chamber. A heater array disposed beneath a wafer carrier for radiatively heating of the wafer carrier includes a peripheral or outermost heating element or elements. Scattered radiation originating from a designated segment of the peripheral heating element(s) can be reduced locally by one of several mechanisms, including reducing the emission (e.g., operating temperature) of the designated segment, or capturing or deflecting a portion of the radiation originating from the designated segment. In one embodiment, an electrical connector on a resistance heating element provides the reduced emission from the designated segment. It has been found that radiation thermometers fixed proximate an axis that extends from the center of the wafer carrier and across the designated segment is subject to less stray radiation, thus providing a more reliable temperature reading in the optical wavelengths.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: May 22, 2018
    Assignee: Veeco Instruments Inc.
    Inventors: Gurary Tas, Jing Zhou, Daewon Kwon
  • Patent number: 9972501
    Abstract: Techniques are disclosed for methods and apparatuses for performing continuous-flow plasma enhanced atomic layer deposition (PEALD). Plasma gas, containing one or more component gases, is continuously flowed to a planar inductive coupled plasma source attached at an upper end of a cylindrical chamber. Plasma is separated from the ALD volume surrounding a wafer/substrate in the lower end of the chamber by a combination of a grounded metal plate and a ceramic plate. Each plate has a number of mutually aligned holes. The ceramic plate has holes with a diameter less than 2 Debye lengths and has a large aspect ratio. This prevents damaging plasma flux from entering the ALD volume into which a gaseous metal precursor is also pulsed. The self-limiting ALD reaction involving the heated substrate, the excited neutrals from the plasma gas, and the metal precursor produce an ultra-uniform, high quality film on the wafer. A batch configuration to simultaneously coat multiple wafers is also disclosed.
    Type: Grant
    Filed: March 14, 2017
    Date of Patent: May 15, 2018
    Assignee: Nano-Master, Inc.
    Inventor: Birol Kuyel
  • Patent number: 9957616
    Abstract: The present invention is directed providing a technique capable of reducing a time for stabilizing a temperature in a processing chamber. The technique includes: a substrate support configured to support a substrate; a thermal insulation unit disposed below the substrate support; a processing chamber configured to accommodate the substrate support and where the substrate is processed; a first heating unit disposed around the processing chamber and configured to heat an inside of the processing chamber from a lateral side thereof; and a second heating unit disposed between the substrate support and the thermal insulation unit inside the processing chamber, the second heating unit including a heater having a substantially annular shape and a suspending member extending downward from the heater, wherein a diameter of the heater is smaller than that of the substrate.
    Type: Grant
    Filed: February 24, 2016
    Date of Patent: May 1, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hitoshi Murata, Yuichi Wada, Takashi Yahata, Hidenari Yoshida, Shuhei Saido
  • Patent number: 9951419
    Abstract: Method and apparatus for making atomic layer deposition on powdered materials are provided. A rotary vessel with tilted rotation axis can be used as the deposition chamber. The rotary vessel can be directly used as the deposition chamber, or the rotary vessel is positioned inside a vacuum chamber that serves as the deposition chamber. A hallow shaft can be used to deliver rotary motion and facilitate pumping. A tube can be inserted into the hollow shaft or the rotary vessel to introduce precursors. Gas diffuser and slowly increased pumping speed can be used to reduce the agitation caused by gas flow. Intermittent rotation, variable rotary speed, extruding structures on inner surface of the rotary vessel, and the addition of easy-to-agitate powder or beads of other materials can be used to enhance the powder agitation caused by rotation.
    Type: Grant
    Filed: September 3, 2012
    Date of Patent: April 24, 2018
    Inventors: Ying-Bing Jiang, Hongxia Zhang
  • Patent number: 9929029
    Abstract: Embodiments described herein relate to a substrate carrier system. The substrate carrier system includes a carrier for transferring a substrate within a multi-chamber processing system. The carrier may be placed in a load lock chamber for receiving the substrate, and the substrate is transferred to a processing chamber on the carrier. In the processing chamber, the carrier, with substrate, is disposed on a susceptor. The carrier can also enhance thermal control of the edge of the substrate in the processing chamber. The substrate carrier system further includes positioning features for repeatable positioning of the substrate in the processing chamber and repeatable positioning of the carrier in the load lock chamber and the processing chamber.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: March 27, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Jeffrey Tobin
  • Patent number: 9905441
    Abstract: An oxidation process apparatus according to one embodiment of the present invention includes: a substrate holder provided in a processing chamber and having a substrate holding surface; a gas introduction unit for introducing an oxygen gas; a cylindrical member; and a substrate holder drive unit for changing relative positions of the substrate holder and the cylindrical member to allow the substrate holding surface and the cylindrical member to form an oxidation process space. The cylindrical member is provided so as to form a gap between the cylindrical member and the substrate holder during formation of the space. The oxygen gas is introduced restrictively into the space. The oxygen gas introduced from the gas introduction unit is evacuated through the gap.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: February 27, 2018
    Assignee: CANON ANELVA CORPORATION
    Inventors: Yoshimitsu Shimane, Takuya Seino
  • Patent number: 9890998
    Abstract: A substrate heating apparatus includes: a heating chamber, as well as a heating unit and a suspension holding unit which are provided in the heating chamber. The heating unit is provided at the bottom of the heating chamber, and the suspension holding unit holds the substrate in suspension above the heating unit. The apparatus avoids collision and friction on the substrate, and ensures uniform heating of the substrate.
    Type: Grant
    Filed: June 26, 2013
    Date of Patent: February 13, 2018
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Yu Jiao, Yanming Wang, Qingyang Yao, Jie Liu
  • Patent number: 9888528
    Abstract: Embodiments of substrate supports are provided herein. In some embodiments, a substrate support may include a body having a support surface; and a first heater disposed within the body and having a first heating coil and multiple heating zones, wherein a pitch of windings of the first heating coil vary among each of the multiple heating zones to define a predetermined heating ratio between the multiple heating zones.
    Type: Grant
    Filed: February 27, 2015
    Date of Patent: February 6, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tomoharu Matsushita, Jallepally Ravi, Cheng-Hsiung Tsai, Aravind Kamath, Xiaoxiong Yuan, Manjunatha Koppa
  • Patent number: 9887071
    Abstract: The present disclosure relates to a semiconductor body etching apparatus having a multi-zone end point detection system. In some embodiments, the multi-zone end point detection system has a processing chamber that houses a workpiece that is etched according to an etching process. A plurality of end point detector (EPD) probes are located within the processing chamber. Respective EPD probes are located within different zones in the processing chamber, thereby enabling the detection of end point signals from multiple zones within the processing chamber. The detected end point signals are provided from the plurality of EPD probes to an advanced process control (APC) unit. The APC unit is configured to make a tuning knob adjustment to etching process parameters based upon the detected end point signals and to thereby account for etching non-uniformities.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: February 6, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-An Chen, Yen-Shuo Su, Ying Xiao, Chin-Hsiang Lin
  • Patent number: 9859146
    Abstract: A semiconductor manufacturing device includes a stage, a plurality of pins, and a driving unit. The stage includes a mounting surface. The mounting surface has a first region for mounting thereon a substrate, and a second region for mounting thereon a focus ring. The second region is provided to surround the first region. A plurality of holes is formed in the stage. The holes extend in a direction that intersects the mounting surface while passing through the boundary between the first region and the second region. The pins are provided in the respective holes. Each of the pins has a first and a second upper end surface. The second upper end surface is provided above the first upper end surface, and is offset towards the first region with respect to the first upper end surface. The driving unit moves the pins up and down in the aforementioned direction.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: January 2, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinji Himori, Yoshiyuki Kobayashi, Takehiro Kato, Etsuji Ito
  • Patent number: 9848461
    Abstract: Embodiments of methods and apparatus for thermally treating a substrate are provided herein. In some embodiments, a thermal treatment apparatus includes a chamber body including an interior volume; a plurality of substrate supports disposed within the interior volume, wherein each of the plurality of substrate supports includes a heating element; a selectively sealable opening in the chamber body sized to allow substrates to be inserted into or removed from the interior volume; a robotic arm disposed in the interior volume to move substrates onto and off of the plurality of substrate supports; and a heating assembly configured to heat substrates disposed on the robotic arm.
    Type: Grant
    Filed: September 29, 2014
    Date of Patent: December 19, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Keith A. Miller
  • Patent number: 9844834
    Abstract: A method for drilling holes in a part includes positioning the part relative to a laser source, applying a first stress to the part, and applying a laser from the laser source to the part to drill a hole therein, wherein the first stress which is present during the application of the laser counteracts a second stress induced by the application of the laser.
    Type: Grant
    Filed: October 20, 2014
    Date of Patent: December 19, 2017
    Assignee: UNITED TECHNOLOGIES CORPORATION
    Inventors: Richard L. Smith, Alan C. Barron
  • Patent number: 9842748
    Abstract: Embodiments of the present disclosure provide a liner assembly including a plurality of individually separated gas passages. The liner assembly enables tenability of flow parameters, such as velocity, density, direction and spatial location, across a substrate being processed. The processing gas across the substrate being processed may be specially tailored for individual processes with a liner assembly according to embodiment of the present disclosure.
    Type: Grant
    Filed: January 17, 2017
    Date of Patent: December 12, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mehmet Tugrul Samir, Shu-Kwan Lau
  • Patent number: 9834445
    Abstract: Disclosed are a porous graphene member having through-holes formed therein, a method for manufacturing the porous graphene member, and an apparatus for manufacturing the porous graphene member using the method. The method comprises: introducing a carbon source and a substitution reaction source into a deposition furnace; thermally decomposing the carbon source and the substitution reaction source simultaneously to generate carbon atoms and substitution atoms, respectively, wherein the carbon atoms are deposited on a substrate present within the deposition furnace to form a graphene film consisting of a monoatomic layer structure, and during the deposition of carbon atoms, the substitution atoms not only interfere with covalent bonds between the carbon atoms to cause crystal defects, but also substitute for parts of the carbon atoms to in situ form through-holes in the graphene, thereby creating the porous graphene member; and releasing the porous graphene member from the substrate.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: December 5, 2017
    Assignee: KOREA INSTITUTE OF ENERGY RESEARCH
    Inventors: Hee-Yeon Kim, Guk-Hyeon Kwon
  • Patent number: 9814097
    Abstract: A baking apparatus for priming a substrate is provided, which includes a chamber, a hot plate and a barrier element. The hot plate is in the chamber and configured to bake the substrate on the hot plate. The barrier element is in contact with a periphery of the substrate and the hot plate to prevent contamination on a lower surface of the substrate. Another baking apparatus for priming a substrate is also provided, which includes a chamber and a hot plate. The hot plate is in the chamber and in full contact with a lower surface of the substrate to prevent contamination thereon.
    Type: Grant
    Filed: April 14, 2014
    Date of Patent: November 7, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Hung Wang, Ren-Jyh Leu, Shang-Wern Chang, Heng-Hsin Liu
  • Patent number: 9805932
    Abstract: First irradiation which causes an emission output from a flash lamp to reach its maximum value over a time period in the range of 1 to 20 milliseconds is performed to increase the temperature of a front surface of a semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 1 to 20 milliseconds. This achieves the activation of the impurities. Subsequently, second irradiation which gradually decreases the emission output from the maximum value over a time period in the range of 3 to 50 milliseconds is performed to maintain the temperature of the front surface within a ±25° C. range around the target temperature for a time period in the range of 3 to 50 milliseconds. This prevents the occurrence of process-induced damage while suppressing the diffusion of the impurities.
    Type: Grant
    Filed: April 29, 2016
    Date of Patent: October 31, 2017
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Kazuhiko Fuse, Shinichi Kato, Kenichi Yokouchi
  • Patent number: 9797066
    Abstract: A susceptor is disclosed that can increase a heat capacity of a susceptor outer peripheral portion by enlarging the thickness of the susceptor and equalize thermal conditions for an outer peripheral portion and the inner peripheral portion of a wafer and a method for manufacturing an epitaxial wafer that uses this susceptor to perform vapor-phase epitaxy of an epitaxial layer. Back surface depositions have a close relationship with heat transfer that occurs between a wafer and a susceptor, i.e., a wafer outer peripheral portion has a higher temperature than a wafer inner peripheral portion since the wafer is in contact with or close to the susceptor at the wafer outer peripheral portion and hence the back surface depositions are apt to be generated. This is solved by equalizing thermal conditions for the wafer outer peripheral portion and the inner peripheral portion of the wafer back surface.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: October 24, 2017
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Masato Ohnishi
  • Patent number: 9798308
    Abstract: A temperature controller that performs a temperature control on a plurality of temperature adjusters including a reference temperature adjuster to adjust a temperature of a semiconductor wafer includes a setpoint setting section that: sets a temperature detected by a master temperature detector as a control setpoint for a reference one of the temperature adjusters of a master loop, until a temporary setpoint below an actual control setpoint preset as a desired temperature of the semiconductor wafer is reached; and sets the actual control setpoint as the control setpoint for the master loop after the temporary setpoint is reached.
    Type: Grant
    Filed: January 9, 2015
    Date of Patent: October 24, 2017
    Assignee: KELK Ltd.
    Inventor: Kazuhiro Mimura
  • Patent number: 9771645
    Abstract: A deposition apparatus is disclosed. In one aspect, the apparatus includes a metal sheet of which an edge portion is integrally combined with a sheet frame and an electrostatic chuck attached to a bottom surface of the metal sheet and configured to pull a substrate based on a static electricity force. The apparatus also includes a metal mask placed below the electrostatic chuck, wherein an edge portion of the metal mask is combined with a mask frame, and wherein the metal mask has a predetermined patterned opening where the substrate is mounted to the upper surface thereof. The apparatus further includes a magnet plate placed above the metal sheet, and configured to pull the metal mask based on a magnetic force so as to attach the substrate to the electrostatic chuck.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: September 26, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventor: Jeong Won Han
  • Patent number: 9766275
    Abstract: Methods and apparatus for determining the root-mean-square (RMS) voltage of an input voltage are provided herein. In some embodiments, an apparatus for determining the root-mean-square (RMS) voltage of an input voltage includes an amplifier to modify an amplitude of the input voltage signal; an amplitude detector, coupled to the amplifier, to transform the spectrum of the modified input voltage signal so that an increased portion of the signal is disposed within a desired frequency region; and a root-mean-square (RMS) converter, coupled to the amplitude detector, to determine the RMS voltage of the transformed input voltage signal, wherein a bandwidth of the RMS converter includes the desired frequency region.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: September 19, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Oleg Serebryanov
  • Patent number: 9741594
    Abstract: Each of substrates which are sequentially loaded into an apparatus is transferred to one of empty (available) cooling units, and the cooling unit is reserved as a unit to be used for performing a cooling treatment after a post-exposure bake process for the substrate and the reservation information is stored. After one of the cooling units is reserved in advance before the post-exposure bake process, the substrate is transferred from the cooling unit to one of heating units without being subjected to a cooling treatment and is subjected to a post-exposure bake process therein. After the post-exposure bake process, the substrate is transferred from the heating unit to the reserved cooling unit which is reserved in advance and subjected to a cooling treatment therein.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: August 22, 2017
    Assignee: SCREEN Semiconductor Solutions Co., Ltd.
    Inventors: Katsumi Hashimoto, Manabu Nakanishi, Takashi Matsushita
  • Patent number: 9719629
    Abstract: A supporting system for a heating element includes a supporting member and a base member. The supporting member has a main extension direction extending substantially in a height direction and a proximal and distal end. The proximal end is adapted to support the heating element. The base member is connected via at least one hinge to a distal portion of the supporting member which distal portion is arranged distal from the proximal end. The supporting member is pivotable relative to the base member about a rotation axis which is oriented parallel to a substantially rigid direction.
    Type: Grant
    Filed: April 8, 2014
    Date of Patent: August 1, 2017
    Assignees: Plansee SE, Plansee USA LLC
    Inventors: Vadim Boguslavskiy, Arno Plankensteiner
  • Patent number: 9711375
    Abstract: A plasma processing apparatus is provided including a processing chamber disposed within a vacuum vessel to form plasma therein, a processing stage disposed in the processing chamber to mount a wafer thereon, a first power supply for outputting an electric field supplied to form the plasma and forming an electric field of a first frequency supplied with repetition of a high output and a low output during processing of the wafer, a second power supply for supplying power of a second frequency to an electrode disposed within the processing stage, and a control device for causing a first value between load impedance at time of the high output of the electric field and load impedance at time of the low output of the electric field to match with impedance of the first power supply.
    Type: Grant
    Filed: February 19, 2015
    Date of Patent: July 18, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiromitsu Terauchi, Tsutomu Iida, Koichi Yamamoto
  • Patent number: 9698074
    Abstract: Methods and apparatus of substrate supports having temperature profile control are provided herein. In some embodiments, a substrate support includes: a plate having a substrate receiving surface and an opposite bottom surface; and a shaft having a first end comprising a shaft heater and a second end, wherein the first end is coupled to the bottom surface. Methods of making a substrate support having temperature profile control are also provided.
    Type: Grant
    Filed: September 9, 2014
    Date of Patent: July 4, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Nir Merry, Leon Volfovski
  • Patent number: 9691652
    Abstract: A ceramic member, in a carrier device, including: a plurality of ceramic layers; a clamping electrode formed on a first ceramic layer among the plurality of ceramic layers and inside of the plurality of ceramic layers, and configured to attract a dielectric material by electrostatic force; an electric heating element formed on a second ceramic layer, which is more distant from a side holding a carried object than the first ceramic layer among the plurality of ceramic layers, and configured to generate heat using electric power; a power feed port; a land formed on a third ceramic layer among the plurality of ceramic layers, and configured to receive electric power through the power feed port; and a via arranged to pass through at least one of the plurality of ceramic layers and provided as a conductive material to electrically connect the electric heating element with the land.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: June 27, 2017
    Assignee: NGK SPARK PLUG CO., LTD.
    Inventors: Kaname Miwa, Tomonori Niwa, Jun Kurano
  • Patent number: 9683290
    Abstract: There is provided a substrate processing apparatus of performing a process by supplying a processing gas on a substrate while rotating the substrate mounted on a rotary table in a vacuum container, which includes: a container main body used as a part including a bottom portion of the vacuum container; a cover part configured to be detachably installed with respect to the container main body to open and close the container main body, the cover part being used as a part including a ceiling portion of the vacuum container; a pillar installed in one of the cover part and the container main body such that the pillar penetrates through a central portion of the rotary table when the cover part is installed on the container main body, and configured to support the cover part with respect to the container main body when the inside of the vacuum container is vacuum-exhausted.
    Type: Grant
    Filed: January 27, 2016
    Date of Patent: June 20, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Manabu Honma
  • Patent number: 9685358
    Abstract: An apparatus for treating a disc-shaped article comprises a spin chuck and at least three individually controllable infrared heating elements. The infrared heating elements are mounted in a stationary manner with respect to rotation of said spin chuck. At least the transparent plate positioned between the infrared heating elements and the underside of a wafer is mounted for rotation with the spin chuck. Alternatively, the transparent plate is part of a housing that encloses the infrared heating elements and that rotates with the spin chuck as the heating elements are stationary relative thereto.
    Type: Grant
    Filed: January 5, 2016
    Date of Patent: June 20, 2017
    Assignee: Lam Research AG
    Inventors: Ante Plazonic, Vijay Kumar Badam, Michael Brugger
  • Patent number: 9677177
    Abstract: The present invention generally relates to a substrate support for use in a processing chamber. The substrate support is divided into quadrants with each quadrant capable of heating independent of the other quadrants. The independent heating permits the substrate support to provide different heating to either different substrate simultaneously disposed on the substrate support or to different areas of a common substrate. Thus, the substrate heating may be tailored to ensure desired processing of the substrate or substrates occurs.
    Type: Grant
    Filed: October 2, 2014
    Date of Patent: June 13, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Robin L. Tiner, Soo Young Choi, Beom Soo Park, Shinichi Kurita, Bora Oh, Gaku Furuta
  • Patent number: 9673074
    Abstract: Disclosed are method and apparatus for treating a substrate. The apparatus is a dual-function process chamber that may perform both a material process and a thermal process on a substrate. The chamber has an annular radiant source disposed between a processing location and a transportation location of the chamber. Lift pins have length sufficient to maintain the substrate at the processing location while the substrate support is lowered below the radiant source plane to afford radiant heating of the substrate. One or more lift pins has a light pipe disposed therein to collect radiation emitted or transmitted by the substrate when the lift pin contacts the substrate surface.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: June 6, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hanbing Wu, Anantha K. Subramani, Wei W. Wang, Aaron Muir Hunter
  • Patent number: 9670103
    Abstract: A vertical chemical vapor deposition (CVD) reactor and a method for synthesizing metal oxide impregnated carbon nanotubes. The CVD reactor includes a preheating zone portion and a reaction zone portion, and preferably an additional cooling zone portion and a product collector. The method includes (a) subjecting a liquid reactant solution comprising an organic solvent, a metallocene, and a metal alkoxide to atomization in the presence of a gas flow comprising a carrier gas and a support gas to form an atomized mixture, and (b) heating the atomized mixture to a temperature of 200° C.-1400° C., wherein the heating forms a metal oxide and at least one carbon source compound, wherein the metallocene catalyzes the formation of carbon nanotubes from the at least one carbon source compound and the metal oxide is incorporated into or on a surface of the carbon nanotubes to form the metal oxide impregnated carbon nanotubes.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: June 6, 2017
    Assignee: King Fahd University of Petroleum and Minerals
    Inventors: Fahad Ali Rabbani, Zuhair Omar Malaibari, Muataz Ali Atieh
  • Patent number: 9666458
    Abstract: A unit for supplying a gas from the upstream side of a reaction chamber, a unit for heating the gas in the upstream side of the reaction chamber, a unit for holding a substrate to be processed in the downstream side of the reaction chamber, and a unit for circulating the gas from the downstream side of the reaction chamber to the upstream side are prepared. The amount of electric power used in heating the gas can be economized by circulating the gas used to heat the substrate to be processed. A portion of the circulating gas may be expelled, and can be utilized as a heat source in order to preheat a newly introduced gas.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: May 30, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hisashi Ohtani, Yasuyuki Arai
  • Patent number: 9653357
    Abstract: A plasma etching apparatus includes: a housing defining a plasma processing chamber; a workpiece retaining unit disposed within the plasma processing chamber of the housing and retaining a workpiece on an upper surface of the workpiece retaining unit; a processing gas injecting unit injecting a processing gas for plasma generation onto the workpiece retained by the workpiece retaining unit, the processing gas injecting unit including a processing gas jetting portion; a processing gas supply unit supplying the processing gas to the processing gas injecting unit; and a pressure reducing unit reducing a pressure within the plasma processing chamber. The processing gas jetting portion of the processing gas injecting unit includes a central injecting portion and a peripheral injecting portion surrounding the central injecting portion.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: May 16, 2017
    Assignee: DISCO CORPORATION
    Inventors: Junichi Arami, Kenji Okazaki
  • Patent number: 9644267
    Abstract: A method and apparatus that may be utilized for chemical vapor deposition and/or hydride vapor phase epitaxial (HVPE) deposition are provided. In one embodiment, a metal organic chemical vapor deposition (MOCVD) process is used to deposit a Group III-nitride film on a plurality of substrates. A Group III precursor, such as trimethyl gallium, trimethyl aluminum or trimethyl indium and a nitrogen-containing precursor, such as ammonia, are delivered to a plurality of straight channels which isolate the precursor gases. The precursor gases are injected into mixing channels where the gases are mixed before entering a processing volume containing the substrates. Heat exchanging channels are provided for temperature control of the mixing channels to prevent undesirable condensation and reaction of the precursors.
    Type: Grant
    Filed: July 9, 2013
    Date of Patent: May 9, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Brian H. Burrows, Alexander Tam, Ronald Stevens, Kenric T. Choi, James David Felsch, Jacob Grayson, Sumedh Acharya, Sandeep Nijhawan, Lori D. Washington, Nyi O. Myo
  • Patent number: 9605345
    Abstract: A vertical furnace includes a heat treatment tube, at least one reactive gas inlet, first adiabatic plates and second adiabatic plates. The at least one reactive gas inlet is disposed at or near a bottom end of the heat treatment tube. The first adiabatic plates are stacked in the heat treatment tube, each of the first adiabatic plates having a flow channel structure for allowing a gas to pass through, in which all the corners in the flow channel structure are rounded. The second adiabatic plates are stacked below the first adiabatic plates in the heat treatment tube.
    Type: Grant
    Filed: August 23, 2013
    Date of Patent: March 28, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Eddy Lay, Shih-Min Tseng, Sheng-Wei Wu, Jen-Chung Chen, Shih-Fang Chen
  • Patent number: 9576830
    Abstract: A method for adjusting the warpage of a wafer, includes providing a wafer having a center portion and edge portions and providing a holding table having a holding area thereon for holding the wafer. The wafer is placed onto the holding table with the center portion higher than the edge portions and thereafter pressed onto the holding area such that the wafer is attracted to and held onto the holding table by self-suction force. The wafer is heated at a predetermined temperature and for a predetermined time in accordance with an amount of warpage of the wafer in order to achieve a substantially flat wafer or a predetermined wafer level.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: February 21, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hui-Min Huang, Chih-Wei Lin, Wen-Hsiung Lu, Ming-Da Cheng, Chung-Shi Liu
  • Patent number: 9540271
    Abstract: A blank made of titanium-doped silica glass for a mirror substrate for use in EUV lithography is provided. The blank includes a surface portion to be provided with a reflective film and having an optically used area (CA) over which a coefficient of thermal expansion (CTE) has a two-dimensional inhomogeneity (dCTE) distribution profile averaged over a thickness of the blank. A maximum inhomogeneity (dCTEmax) of less than 5 ppb/K is defined as a difference between a CTE maximum value and a CTE minimum value. The dCTEmax is at least 0.5 ppb/K. The CA forms a non-circular area having a centroid. The dCTE distribution profile is not rotation-symmetrical and is defined over the CA, such that straight profile sections normalized to a unit length and extending through the centroid of the area yield a dCTE family of curves forming a curve band with a bandwidth of less than 0.5×dCTEmax.
    Type: Grant
    Filed: June 24, 2015
    Date of Patent: January 10, 2017
    Assignee: Heraeus Quarzglas GmbH & Co. KG
    Inventors: Klaus Becker, Stefan Ochs, Stephan Thomas
  • Patent number: 9502218
    Abstract: Embodiments of the disclosure generally relate to a hybrid plasma processing system incorporating a remote plasma source (RPS) unit with a capacitively coupled plasma (CCP) unit for substrate processing. In one embodiment, the hybrid plasma processing system includes a CCP unit, comprising a lid having one or more through holes, and an ion suppression element, wherein the lid and the ion suppression element define a plasma excitation region, a RPS unit coupled to the CCP unit, and a gas distribution plate disposed between the ion suppression element and a substrate support, wherein the gas distribution plate and the substrate support defines a substrate processing region. In cases where process requires higher power, both CCP and RPS units may be used to generate plasma excited species so that some power burden is shifted from the CCP unit to the RPS unit, which allows the CCP unit to operate at lower power.
    Type: Grant
    Filed: January 23, 2015
    Date of Patent: November 22, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xinglong Chen, Saurabh Garg, Jang-Gyoo Yang
  • Patent number: 9476121
    Abstract: A showerhead for chemical vapor deposition includes: a plurality of reactive gas showerhead modules separated each other and having the same number as the number of kinds of reactive gases injected from the showerhead, each having a mixing zone in the reactive gas showerhead module to induce a mixing of a reactive gas and an injection support gas used to regulate the injection velocity of the reactive gas and a plurality of reactive gas injection tubes connected to the bottom surface of the reactive gas showerhead module for injecting the reactive gas mixed with the injection support gas over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead modules, with a purge gas supply port for supplying a purge gas to the purge gas showerhead module.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: October 25, 2016
    Assignees: PIEZONICS Co., Ltd., Korea Institute of Industrial Technology
    Inventors: Chul Soo Byun, Man Cheol Han
  • Patent number: 9469900
    Abstract: A method for chemical vapor deposition using a showerhead through which at least one reactive gas and a purge gas are injected over a substrate, wherein the method includes: disposing the showerhead such that the bottom surface of the showerhead is spaced apart from the substrate by a predetermined distance; supplying a reactive gas and an injection support gas into the showerhead, wherein reactive gases of different kinds are respectively delivered into compartments formed at inside of the showerhead; mixing each reactive gas with its corresponding injection support gas in each mixing zone at inside of the showerhead; supplying a purge gas into a separated compartment at inside of the showerhead; and injecting the reactive gas mixed with the injection support gas and the purge gas through a plurality of reactive gas exits and a plurality of purge gas exits formed at the bottom surface of the showerhead, respectively.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: October 18, 2016
    Assignee: PIEZONICS Co., Ltd.; Korea Institute of Industrial Technology
    Inventors: Chul Soo Byun, Man Cheol Han
  • Patent number: 9472434
    Abstract: Embodiments of the present disclosure provide an electrostatic chuck (ESC) having azimuthal temperature control. In one embodiment, the electrostatic chuck includes an insulating base, a dielectric layer disposed on the insulating base, the dielectric layer having a substrate supporting surface, an electrode assembly disposed between the insulating base and the substrate supporting surface, and a plurality of heating elements coupled to the insulating base, the heating elements azimuthally control a temperature profile across a substrate surface.
    Type: Grant
    Filed: August 6, 2014
    Date of Patent: October 18, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Michael S. Cox