Substrate Heater Patents (Class 118/725)
  • Patent number: 9441295
    Abstract: One embodiment of the present invention provides a gas-delivery system for delivering reaction gas to a reactor chamber. The gas-delivery system includes a main gas-inlet port for receiving reaction gases and a gas-delivery plate that includes a plurality of gas channels. A gas channel includes a plurality of gas holes for allowing the reaction gases to enter the reactor chamber from the gas channel. The gas-delivery system further includes a plurality of sub-gas lines coupling together the main gas-inlet port and the gas-delivery plate, and a respective sub-gas line is configured to deliver a portion of the received reaction gases to a corresponding gas channel.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: September 13, 2016
    Assignee: SolarCity Corporation
    Inventors: Yan Rozenzon, Robert T. Trujillo, Steven C. Beese
  • Patent number: 9438140
    Abstract: A member for a semiconductor manufacturing apparatus includes an electrostatic chuck, a cooling unit, a spacer (an O-ring, an outer periphery spacer, or the like) for securing a gap placed between the electrostatic chuck and the cooling unit, and a clamp ring placed on the upper surface of the outer periphery of the electrostatic chuck. The clamp ring is fastened to the cooling unit with screws. The screws are inserted into coil springs that prevent loosening, and are fastened to nuts. The coil springs are attached not to the clamp ring side but to the cooling unit side.
    Type: Grant
    Filed: April 25, 2013
    Date of Patent: September 6, 2016
    Assignee: NGK Insulators, Ltd.
    Inventors: Hideaki Takasaki, Takashi Kataigi
  • Patent number: 9431278
    Abstract: Apparatus and methods of thermally treating a wafer or other substrate, such as rapid thermal processing (RTP) apparatus and methods are disclosed. An array of radiant lamps directs radiation to the back side of a wafer to heat the wafer. In one or more embodiments, the front side of the wafer on which the patterned integrated circuits are being formed faces a radiant reflector. In one or more embodiments, the wafer is thermally monitored for temperature and reflectivity from the side of the reflector.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: August 30, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Wolfgang Aderhold, Sundar Ramamurthy, Aaron Hunter
  • Patent number: 9423636
    Abstract: Embodiments of the invention provide a high temperature curing oven, comprising: a heating cavity a heater and a temperature monitor system. The heater includes a plurality of heating modules that can be controlled independently. The temperature monitor system includes: a thermocouple; an infrared monitor device; a signal processing module; and an executing module.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: August 23, 2016
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: Yangkun Jing
  • Patent number: 9371584
    Abstract: A processing chamber and a method for centering a substrate therein is provided. In one embodiment, the processing chamber includes a chamber body, a support structure, lift pins, alignment pins and restriction assemblies. The support structure has an upper surface and is disposed in the chamber body. The lift pins, alignment pins and restriction assemblies are disposed on the upper surface. The lift pins are applied for bearing the substrate. Each of the alignment pins has a conical contact surface which can be extended from the upper surface to contact the edges of the substrate to provide lateral forces to center the substrate on the lift pins. Additionally, when the alignment pins and the lift pins are retracted, the substrate is lowered and placed between the restriction assemblies disposed on the upper surface to prevent the substrate from inadvertently moving laterally from the centered position.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: June 21, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Kyung-Tae Kim
  • Patent number: 9373529
    Abstract: A processing tool includes a chamber configured to receive a wafer, the chamber having a sidewall and a sidewall heating source configured to heat the sidewall of the chamber. The processing tool further includes a first heating source configured to provide energy to an interior of the chamber through a top surface of the chamber and a second heating source configured to provide energy to the interior of the chamber through a bottom surface of the chamber. The sidewall heating source is separate from the first heating source and the second heating source.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: June 21, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Su-Hao Liu, Chien-Hung Lin, Ziwei Fang, Ker-Hsun Liao
  • Patent number: 9351341
    Abstract: The front surface of a semiconductor wafer with a back surface supported by lift pins is irradiated with a flash of light from flash lamps, so that the semiconductor wafer is heated. A transparent restriction ring made of quartz is into abutment with or close to a peripheral portion of the front surface of the semiconductor wafer. In this state, the flash irradiation is performed. If the temperature of the front surface of the semiconductor wafer rises rapidly when the flash irradiation is performed, the restriction ring restrains the semiconductor wafer from jumping up from the lift pins. This prevents wafer cracking resulting from the jumping of the semiconductor wafer when the flash irradiation is performed.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: May 24, 2016
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Nobuhiko Nishide
  • Patent number: 9330988
    Abstract: Disclosed is a method of manufacturing integrated circuit (IC) chips. In the method, wafers are received and the backside roughness levels of these wafers are determined. Based on the backside roughness levels, the wafers are sorted into different groups. Chips having the same design are manufactured on wafers from all of the different groups. However, during manufacturing, process(es) is/are performed differently on wafers from one or more of the different groups to minimize systematic variations in a specific parameter (e.g., wire width) in the resulting chips. Specifically, because systematic variations may occur when the exact same processes are used to form IC chips on wafers with different backside roughness levels, the method disclosed herein selectively adjusts one or more of those processes when performed on wafers from one or more of the different groups to ensure that the specific parameter is approximately equal in the resulting integrated IC chips.
    Type: Grant
    Filed: December 23, 2014
    Date of Patent: May 3, 2016
    Assignee: International Business Machines Corporation
    Inventors: Shawn A. Adderly, Kyle Babinski, Daniel A. Delibac, David A. DeMuynck, Shawn R. Goddard, Matthew D. Moon, Melissa J. Roma, Craig E. Schneider
  • Patent number: 9325007
    Abstract: A magnetic handling assembly for thin-film processing of a substrate, a system and method for assembling and disassembling a shadow mask to cover a top of a workpiece for exposure to a processing condition. The assembly may include a magnetic handling carrier and a shadow mask disposed over, and magnetically coupled to, the magnetic handling carrier to cover a top of a workpiece that is to be disposed between the shadow mask and the magnetic handling carrier when exposed to a processing condition. A system includes a first chamber with a first support to hold the shadow mask, a second support to hold a handling carrier, and an alignment system to align the shadow mask a workpiece to be disposed between the carrier and shadow mask. The first and second supports are moveable relative to each other.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: April 26, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Byung-Sung Leo Kwak, Stefan Bangert, Ralf Hofmann, Michael Koenig
  • Patent number: 9316443
    Abstract: In an apparatus and process for treating wafer-shaped articles, a spin chuck holds a wafer-shaped article in a predetermined orientation relative to an upper surface of the spin chuck. The apparatus includes a heating assembly having a housing that contains at least one infrared heating element. The heating assembly is mounted above an upper surface of the spin chuck and adjacent a wafer-shaped article when mounted on the spin chuck. The housing comprises a gas inlet connected to a gas supply, and at least one outlet for discharging gas from the housing.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: April 19, 2016
    Assignee: LAM RESEARCH AG
    Inventors: Karl-Heinz Hohenwarter, Vijay Badam, Christoph Semmelrock
  • Patent number: 9263298
    Abstract: A plasma etching apparatus 11 includes a mounting table that holds a semiconductor substrate W thereon; a first heater 18a that heats a central region of the semiconductor substrate W held on the mounting table 14; a second heater 18b that heats an edge region around the central region of the semiconductor substrate W held on the mounting table 14; a reactant gas supply unit 13 that supplies a reactant gas for a plasma process toward the central region of the semiconductor substrate W held on the mounting table 14; and a control unit 20 that performs a plasma etching process on the semiconductor substrate W while controlling the first heater 18a and the second heater 18b to heat the central region and the edge region of the processing target substrate W held on the mounting table 14 to different temperatures.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: February 16, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Kazuto Takai, Reika Ko, Nobuyuki Okayama
  • Patent number: 9245735
    Abstract: An upper electrode device applied to the film coating process has a splitter chamber and at least three gas diversion plates; a gas inlet is set on the splitter chamber; the at least three gas diversion plates were fixed on the inside walls of the splitter chamber and used for diverting and outputting the gas introduced into the splitter chamber through the gas inlet. By using the upper electrode device provided by the present disclosure can uniformize the gas used for coating in the film coating process, especially uniformize the gas in the perimeter area and the center area. Consequently, the uniformity of the thickness of the whole film coated is improved.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: January 26, 2016
    Assignee: EVERDISPLAY OPTRONICS (SHANGHAI) LIMITED
    Inventor: Hsiuchi Hsu
  • Patent number: 9245777
    Abstract: An apparatus for treating a disc-shaped article comprises a spin chuck and at least three individually controllable infrared heating elements. The infrared heating elements are mounted in a stationary manner with respect to rotation of said spin chuck. At least the transparent plate positioned between the infrared heating elements and the underside of a wafer is mounted for rotation with the spin chuck. Alternatively, the transparent plate is part of a housing that encloses the infrared heating elements and that rotates with the spin chuck as the heating elements are stationary relative thereto.
    Type: Grant
    Filed: May 15, 2013
    Date of Patent: January 26, 2016
    Assignee: LAM RESEARCH AG
    Inventors: Ante Plazonic, Vijay Kumar Badam, Michael Brugger
  • Patent number: 9217922
    Abstract: A liquid processing apparatus includes a substrate holding unit arranged within a processing cup and configured to horizontally hold a substrate, a rotating mechanism configured to rotate the substrate holding unit about a vertical axis, a processing liquid supply unit configured to supply a processing liquid onto a surface of the substrate, and an exhaust mechanism configured to discharge an atmospheric gas around the substrate. The exhaust mechanism includes an exhaust flow path connected to an exhaust port formed at the processing cup, a circulation flow path branched from the exhaust flow path and configured to communicate with the processing cup, a gas liquid separator, a first regulator valve installed at one end of the exhaust flow path, and a second regulator valve installed at the other end of the exhaust flow path.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: December 22, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Minoru Kubota, Kousuke Yoshihara, Kouzou Tachibana
  • Patent number: 9175397
    Abstract: A method of synthesizing multilayer heterostructures including an inorganic oxide layer residing on a solid substrate is described. Exemplary embodiments include producing an inorganic oxide layer on a solid substrate by a liquid coating process under relatively mild conditions. The relatively mild conditions include temperatures below 225° C. and pressures above 9.4 mb. In an exemplary embodiment, a solution of diethyl aluminum ethoxide in anhydrous diglyme is applied to a flexible solid substrate by slot-die coating at ambient atmospheric pressure, and the diglyme removed by evaporation. An AlOx layer is formed by subjecting material remaining on the solid substrate to a relatively mild oven temperature of approximately 150° C. The resulting AlOx layer exhibits relatively high light transmittance and relatively low vapor transmission rates for water. An exemplary embodiment of a flexible solid substrate is polyethylene napthalate (PEN). The PEN is not substantially adversely affected by exposure to 150° C.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: November 3, 2015
    Assignee: Alliance for Sustainable Energy, LLC
    Inventors: Scott R. Hammond, Matthew Reese, Benjamin Rupert, Alexander Miedaner, Calvin Curtis, Dana Olson, David S. Ginley
  • Patent number: 9177839
    Abstract: A processing gas diffusing and supplying unit includes a supporting portion having an opening, a plate including gas supply holes, an internal space, between the supporting portion and the plate, communicating with the opening, and a cover part installed within the internal space and connected to the opening. The cover part includes a shielding portion which is disposed within the internal space and has a surface facing the opening, a side wall which holds the shielding portion, and a through hole formed at the side wall and communicating with the opening and the internal space. At least a portion of the gas supply holes is located right below the cover part and a height of the internal space is equal to or greater than 8 mm.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: November 3, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Toshifumi Ishida
  • Patent number: 9159591
    Abstract: A batch type apparatus may include a tube; a boat configured to receive a plurality of semiconductor substrates, the boat vertically moved into the tube; a gas nozzle vertically arranged in the tube, the tube having a first portion and a second portion upwardly extended from the first portion; a gas pipe for supplying reaction gases to the gas nozzle, the gas pipe having a horizontal extension and a vertical extension, and the vertical extension extended in the gas nozzle; a fixing member for fixing the first portion of the gas nozzle to the gas pipe, the fixing member having strength higher than that of the gas nozzle; and a clamping member for clamping the gas pipe to the tube. Therefore, breakage of the gas nozzle may be suppressed.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: October 13, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cheol-Kyu Yang, Seog-Min Lee, Chul-Young Jang, Dong-Min Son, Byung-Ho Ahn, Du-Han Jeon, Yong-Kyu Joo, Sang-Cheol Ha
  • Patent number: 9156705
    Abstract: Processes for producing polycrystalline silicon by thermal decomposition of dichlorosilane are disclosed. The processes generally involve thermal decomposition of dichlorosilane in a fluidized bed reactor operated at reaction conditions that result in a high rate of productivity relative to conventional production processes.
    Type: Grant
    Filed: December 23, 2010
    Date of Patent: October 13, 2015
    Assignee: SunEdison, Inc.
    Inventors: Satish Bhusarapu, Puneet Gupta, Yue Huang
  • Patent number: 9153472
    Abstract: Uniformity of vapor deposited coatings on semiconductor wafers is improved by employing an apparatus having a gas distributor head below a susceptor onto which the wafer is placed, the gas distributor head directing a fan of cooling gas at the rear side of the susceptor. The ratio of the diameter of the cooled section of the susceptor to the diameter D of the wafer is preferably from 0.1 to 0.4.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: October 6, 2015
    Assignee: Siltronic AG
    Inventor: Georg Brenninger
  • Patent number: 9144858
    Abstract: A plasma generating system is provided. The plasma generating system includes: a pair of electrodes having distal ends; an electrode holder holding the pair of electrodes; a gate having a surface on which the electrode holder is slidably mounted and adapted to be controlled by sliding the electrode holder on the surface; and a resilient member secured to the gate and adapted to generate a force to close the opening. The distal ends are adapted to move into an opening of the gate as the electrode holder slides along a direction on the surface and adapted to generate an electric arc to thereby ignite plasma in a gas.
    Type: Grant
    Filed: November 15, 2012
    Date of Patent: September 29, 2015
    Assignee: ReCarbon Inc.
    Inventors: Sang Hun Lee, Toru Tanibata, Orion Weihe
  • Patent number: 9103030
    Abstract: In a film deposition apparatus, a first separation gas is discharged from a separation gas supplying portion to a separation area between a first process area to which a first reaction gas is supplied and a second process area to which a second reaction gas is supplied. A heater is provided to heat the turntable by radiation heat. An outer sidewall member is provided in a bottom part of a vacuum chamber to surround the turntable in an area where the heater is provided. A space forming member is provided between the separation areas adjacent to each other in a rotating direction of the turntable and extending from the outer sidewall member to form a narrow space between the turntable. A purge gas flows from a lower side of the turntable to an area outside the turntable in a radial direction through the narrow space.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: August 11, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma
  • Patent number: 9096949
    Abstract: A susceptor support portion of the present invention includes a susceptor shaft and a substrate lift portion. The susceptor shaft includes a support column and a plurality of arms that extend radially from the support column, the substrate lift portion includes a support column and a plurality of arms that extend radially from the support column, the arm of the susceptor shaft includes a first arm, a second arm coupled to the first arm, and a third arm coupled to the second arm, from the support column side of the susceptor shaft, the second arm being provided with a through hole which passes through the second arm in a vertical direction, and a width of the first arm of the susceptor shaft is smaller than a width of the second arm of the susceptor shaft.
    Type: Grant
    Filed: November 17, 2014
    Date of Patent: August 4, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Akira Okabe, Yoshinobu Mori
  • Patent number: 9084969
    Abstract: A system for recycling a work gas used in a thermal reactor for treating sample materials includes a thermal reactor using a work gas from a first source mixed with carrier gases. The work gas has a boiling point higher than the carrier gases. The system includes a pump, a condenser which converts the work gas into a liquid, and a scrubber.
    Type: Grant
    Filed: May 20, 2014
    Date of Patent: July 21, 2015
    Assignee: Stion Corporation
    Inventor: Robert D. Wieting
  • Patent number: 9085824
    Abstract: An apparatus and method for controlling stray radiation within a CVD chamber. A heater array disposed beneath a wafer carrier for radiatively heating of the wafer carrier includes a peripheral or outermost heating element or elements. Scattered radiation originating from a designated segment of the peripheral heating element(s) can be reduced locally by one of several mechanisms, including reducing the emission (e.g., operating temperature) of the designated segment, or capturing or deflecting a portion of the radiation originating from the designated segment. In one embodiment, an electrical connector on a resistance heating element provides the reduced emission from the designated segment. It has been found that radiation thermometers fixed proximate an axis that extends from the center of the wafer carrier and across the designated segment is subject to less stray radiation, thus providing a more reliable temperature reading in the optical wavelengths.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: July 21, 2015
    Assignee: Veeco Instruments, Inc.
    Inventors: Guray Tas, Jing Zhou, Daewon Kwon
  • Patent number: 9068263
    Abstract: The present invention relates to equipment used to manufacture PV cells or modules. In some embodiments, a gas delivery and gas exhaust system are provided for processing a plurality of substrates. The gas delivery and gas exhaust system are designed such that the substrates are exposed in a uniform manner to the gas.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: June 30, 2015
    Assignee: Sandvik Thermal Process, Inc.
    Inventors: Reese Reynolds, H. William Lucas, Jr., Tyke Johnson
  • Publication number: 20150144062
    Abstract: A vapor deposition apparatus includes a stage on which a substrate is mounted; a heater unit that is disposed at a side of the stage and includes a first heater and a second heater, wherein the first heater and the second heater are movable so that the first heater and the second heater are spaced apart from each other or are disposed adjacent to each other; and a nozzle unit that is disposed at a side opposite to the side at which the heater unit is disposed about the stage and includes one or more nozzles.
    Type: Application
    Filed: January 6, 2015
    Publication date: May 28, 2015
    Inventors: Choel-Min Jang, Myung-Soo Huh, Jeong-Ho Yi, Cheol-Rae Jo, Sang-Joon Seo, Seung-Hun Kim, Jin-Kwang Kim
  • Patent number: 9039838
    Abstract: Provided is a substrate processing apparatus. The apparatus includes: a process vessel, a heater, a source gas supply system, an oxygen-containing gas supply system, a hydrogen-containing gas supply system, a pressure regulator, and a controller. The controller is configured to control the parts so as to perform: (a) forming an oxide film on a substrate by alternately repeating: (a-1) forming a layer by supplying a source gas into the process vessel accommodating the substrate; and (a-2) changing the layer into an oxide layer by supplying an oxygen-containing gas and an hydrogen-containing gas into the process vessel, the inside of the process vessel being under a heated atmosphere having a low pressure; and (b) modifying the oxide film formed on the substrate by supplying the oxygen-containing gas and the hydrogen-containing gas into the process vessel, the inside of the process vessel being under the heated atmosphere having the low pressure.
    Type: Grant
    Filed: July 20, 2012
    Date of Patent: May 26, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Ryota Sasajima, Yoshiro Hirose, Yosuke Ota, Naonori Akae, Kojiro Yokozawa
  • Publication number: 20150140835
    Abstract: A substrate processing apparatus is disclosed. The substrate processing apparatus includes a process chamber configured to accommodate a substrate; a gas supply unit configured to supply a process gas into the process chamber; a lid member configured to block an end portion opening of the process chamber; an end portion heating unit installed around a side wall of an end portion of the process chamber; and a thermal conductor installed on a surface of the lid member in an inner side of the process chamber, and configured to be heated by the end portion heating unit.
    Type: Application
    Filed: January 29, 2015
    Publication date: May 21, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hideto TATENO, Yuichi WADA, Hiroshi ASHIHARA, Keishin YAMAZAKI, Takurou USHIDA, Iwao NAKAMURA, Manabu IZUMI
  • Publication number: 20150136026
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus in which a process with respect to a substrate is performed includes a main chamber having a passage that is defined in one sidewall thereof to load or unload the substrate and upper and lower openings that are respectively defined in upper and lower portions thereof, a chamber cover closing the upper opening of the main chamber to provide a process space that is blocked from the outside to perform the process, a showerhead disposed in the process space, the showerhead having a plurality of spray holes that spray a process gas, a lower heating block on which the substrate is placed on an upper portion thereof, the lower heating block being fixed to the lower opening and having a lower installation space separated from the process space, and a plurality of lower heaters disposed in the lower installation space in a direction parallel to the substrate to heat the lower heating block.
    Type: Application
    Filed: June 14, 2013
    Publication date: May 21, 2015
    Inventors: Il-Kwang Yang, Byoung-Gyu Song, Kyong-Hun Kim, Yong-Ki Kim, Yang-Sik Shin
  • Publication number: 20150136027
    Abstract: A trap mechanism for trapping exhaust gas from a process chamber. The trap assembly includes a housing containing a plurality of trap units. The plurality of trap units are arranged successively along a flow direction of said exhaust gas. Each trap unit includes a set of trap panels parallel to each other and spaced apart from each other. The two opposite surfaces with a larger area of each trap panel are oriented substantially parallel to a flow direction of the exhaust gas flow. The two opposite surfaces with a smaller area of each trap panels are oriented orthogonal to the exhaust gas flow.
    Type: Application
    Filed: September 19, 2014
    Publication date: May 21, 2015
    Inventors: Masamichi HARA, Kaoru YAMAMOTO, Yasushi MIZUSAWA
  • Publication number: 20150140211
    Abstract: This patent relates to 1) primary tool designs for a chemical vapor deposition (CVD) synthesis system in the form of open tray stacks or more readily accessible, quasi-gas-tight enclosure boxes, to 2) system designs for low volume and high volume CVD graphene production, and to 3) methods for CVD graphene and other two-dimensional (2D) film CVD synthesis. Scaling of higher quality CVD 2D-film production is thereby enabled both in substrate size and productivity and at reduced costs. This invention provides a wider process window for CVD Synthesis of 2D films and, particularly of graphene films, thereby allowing increased film quality and/or production throughput.
    Type: Application
    Filed: November 19, 2014
    Publication date: May 21, 2015
    Inventors: Karlheinz Strobl, Leonard Rosenbaum
  • Publication number: 20150129132
    Abstract: A showerhead includes a body configured to receive a reaction gas, a nozzle on the body configured to inject the reaction gas to a substrate, and a plurality of conducting members in thermal contact with the body to conduct heat generated from the substrate.
    Type: Application
    Filed: July 24, 2014
    Publication date: May 14, 2015
    Inventors: Hong-Taek LIM, Ki-Kone KIM, Ho-Jun KIM, Jong-Yong BAE, Do-Hyung KIM, Jai-Hyung WON, Seung-Moo LEE
  • Patent number: 9031391
    Abstract: A liquid control apparatus that controls a spread of a liquid has a main body that has a supply subject surface onto which the liquid is supplied. The apparatus also has a mesh form body that is woven into a mesh form and provided to contact the supply subject surface and a guiding member that is provided to contact an opposite side of the mesh form body to the main body side.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: May 12, 2015
    Assignee: CKD Corporation
    Inventors: Masayuki Kouketsu, Hiroshi Itafuji
  • Patent number: 9028614
    Abstract: When processing such as SiC epitaxial growth is performed at an ultrahigh temperature of 1500° C. to 1700° C., a film-forming gas can be decreased to heat-resistant temperature of a manifold and film quality uniformity can be improved. A substrate processing apparatus includes a reaction chamber for processing a plurality of substrates, a boat for holding the plurality of substrates, a gas supply nozzle for supplying a film-forming gas to the plurality of substrates, an exhaust port for exhausting the film-forming gas supplied into the reaction chamber, a heat exchange part which defines a second flow path narrower than a first flow path defined by an inner wall of the reaction chamber and the boat, and a gas discharge part installed under the lowermost substrate of the plurality of substrates.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Daisuke Hara, Takeshi Itoh, Masanao Fukuda, Takatomo Yamaguchi, Hiroaki Hiramatsu, Shuhei Saido, Takafumi Sasaki
  • Publication number: 20150122179
    Abstract: A process roller for receiving and guiding substrates in strip form in vacuum coating installations. The process roller comprises a heater located inside the process roller, in the form of an elongated radiant heater, and also a cylindrical lateral surface for receiving a substrate in strip form, the process roller being mounted rotatably about an axis of rotation in a vacuum process chamber. A particularly uniform temperature distribution can be achieved on the process roller's lateral surface by the process roller (2) being configured in a vacuum-tight manner, by the lateral surface (3) of the process roller (2) being connected in a vacuum-tight manner to two end caps (4, 5), which have a flattened, outwardly curved hemispherical form, by the interior space of the process roller (2) being connected to a vacuum connection (6), and by the radiant heater (8) extending into the region of the end caps (4, 5).
    Type: Application
    Filed: February 11, 2013
    Publication date: May 7, 2015
    Inventors: Lutz Köhler, Daniel Michel, Anthony Nobel, Marco Grafe
  • Patent number: 9023429
    Abstract: A method of manufacturing a semiconductor device including: mounting a substrate on a substrate mounting member that is disposed in a reaction container; heating the substrate at a predetermined processing temperature and supplying a first gas and a second gas to the substrate to process the substrate; stopping supply of the first gas and the second gas, and supplying an inert gas into the reaction container; and unloading the substrate to outside the reaction container.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yuichiro Takeshima, Osamu Kasahara, Kazuyuki Toyoda, Junichi Tanabe, Katsuhiko Yamamoto, Hisashi Nomura
  • Publication number: 20150114296
    Abstract: Methods for preparing organic thin films on substrates, the method comprising the steps of providing a plurality of organic precursors in the vapor phase, and reacting the plurality or organic precursors at a sub-atmospheric pressure. Also included are thin films made by such a method and apparatuses used to conduct such a method. The method is well-suited to the formation of organic light emitting devices and other display-related technologies.
    Type: Application
    Filed: April 5, 2013
    Publication date: April 30, 2015
    Applicant: The Trustees of Princeton University
    Inventor: The Trustees of Princeton University
  • Publication number: 20150101755
    Abstract: An insulating film having features such as a low dielectric constant, a low etching rate and a high insulating property is formed. An oxycarbonitride film is formed on a substrate by performing a cycle a predetermined number of times, the cycle including: (a) supplying a gas containing an element to the substrate; (b) supplying a carbon-containing gas to the substrate; (c) supplying a nitrogen-containing gas to the substrate; and (d) supplying an oxygen-containing gas to the substrate.
    Type: Application
    Filed: December 17, 2014
    Publication date: April 16, 2015
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Yoshiro HIROSE, Yushin TAKASAWA, Tsukasa KAMAKURA, Yoshinobu NAKAMURA, Ryota SASAJIMA
  • Patent number: 8998606
    Abstract: An apparatus for uniform reactive thermal treatment of thin-film materials includes a chamber enclosing a tube shaped space filled with a work gas and heaters disposed outside the chamber. The apparatus further includes a loading configuration for subjecting a plurality of planar substrates to the work gas in the tube shaped space. Baffles are disposed above and below the loading configuration.
    Type: Grant
    Filed: January 4, 2012
    Date of Patent: April 7, 2015
    Assignee: Stion Corporation
    Inventors: Paul Alexander, Steven Aragon
  • Patent number: 8999063
    Abstract: A susceptor includes a first step portion on which a wafer is placed; and a convex portion placed on a bottom surface of the first step portion, wherein a void is formed between a top surface of the convex portion and a rear surface of the wafer in a state in which the wafer is placed on the top surface of the convex portion.
    Type: Grant
    Filed: May 1, 2009
    Date of Patent: April 7, 2015
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideki Ito
  • Publication number: 20150093894
    Abstract: According to one embodiment, a semiconductor manufacturing apparatus includes a process tube, a substrate supporting unit, and a heater. A surface processing area is provided in a portion of the outer surface of the process tube facing the heater. The surface processing area is processed to reduce the heat radiation passing compared to that of other areas of the outer surface. The surface processing area is provided in a range sandwiched by a straight line connecting the upper end of the heater and the upper end of the substrate supporting unit and a straight line connecting the lower end of the heater and the lower end of the substrate supporting unit.
    Type: Application
    Filed: September 8, 2014
    Publication date: April 2, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kaori Deura, Shinya Higashi, Takahiro Terada, Tsutomu Sato, Kazuhiko Nakamura
  • Publication number: 20150090693
    Abstract: A film formation apparatus according to an embodiment includes: a film formation chamber performing film formation on a substrate; a cylindrical liner provided inside of a sidewall of the film formation chamber; a process-gas supply unit provided at a top of the film formation chamber and having a first gas ejection hole supplying a process gas to inside of the liner; a first heater provided outside the liner in the film formation chamber and heating the substrate from above; a second heater heating the substrate from below; and a shielding gas supply unit having a plurality of second gas ejection holes supplying a shielding gas to a position closer to a sidewall of the film formation chamber than a position of the first gas ejection hole.
    Type: Application
    Filed: August 29, 2014
    Publication date: April 2, 2015
    Inventors: Hideki ITO, Kunihiko SUZUKI, Hidekazu TSUCHIDA, Isaho KAMATA, Masahiko ITO, Hiroaki FUJIBAYASHI, Masami NAITO, Ayumu ADACHI, Koichi NISHIKAWA
  • Publication number: 20150093883
    Abstract: According to a manufacturing apparatus for semiconductor device according to an embodiment of the present invention, a reaction chamber includes a gas introduction unit and a deposition reaction unit. The gas introduction unit includes a gas introduction port for introducing process gas and a buffer unit into which the process gas is introduced from the gas introduction port. In the deposition reaction unit, deposition reaction is performed on a wafer by the process gas. A rectifying plate provided under an area at least a part of which is enclosed by the buffer unit supplies the process gas introduced from a side of the buffer unit in a horizontally dispersed state to an upper surface of the wafer in a rectified state.
    Type: Application
    Filed: September 30, 2014
    Publication date: April 2, 2015
    Inventors: Yoshikazu MORIYAMA, Shigeaki ISHII
  • Patent number: 8986451
    Abstract: Described is a linear batch CVD system that includes a deposition chamber, one or more substrate carriers, gas injectors and a heating system. Each substrate carrier is disposed in the deposition chamber and has at least one receptacle configured to receive a substrate. The substrate carriers are configured to hold substrates in a linear configuration. Each gas injector includes a port configured to supply a gas in a uniform distribution across one or more of the substrates. The heating system includes at least one heating element and a heating control module for uniformly controlling a temperature of the substrates. The system is suitable for high volume CVD processing of substrates. The narrow width of the deposition chamber enables a uniform distribution of precursor gases across the substrates along the length of the reaction chamber and permits a greater number of substrates to be processed in comparison to conventional deposition chambers.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: March 24, 2015
    Assignee: Singulus MOCVD GmbH I. GR.
    Inventor: Piero Sferlazzo
  • Patent number: 8986453
    Abstract: The invention relates to a device for coating substrates having a process chamber (1) disposed in a reactor housing and a two-part, substantially cup-shaped susceptor (2, 3) disposed therein, forming an upper susceptor part (2) with the cup floor thereof having a flat plate (2?) and a lower susceptor part (3) with the cup side walls thereof, the outer side (4) of the plate (2?) of the upper susceptor part (2) facing upwards toward the process chamber (1) and forming a contact surface for at least one substrate, the upper susceptor part (2) contacting a front edge (3?) of the lower susceptor part (3) at the edge of said upper susceptor part (2), the lower susceptor part (3) being supported by a susceptor carrier (6), and heating zones (A, B, C) for heating the upper susceptor part (2) being disposed below the plate (2?).
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: March 24, 2015
    Assignee: Aixtron Inc.
    Inventors: Johannes Käppeler, Adam Boyd, Victor Saywell, Jan Mulder, Olivier Feron
  • Patent number: 8986454
    Abstract: Embodiments of a window assembly are provided herein. In some embodiments, a window assembly for use in a substrate processing system comprising a first window at least partially transparent to light energy; a second window transparent to light energy and substantially parallel to the first window; and a separator disposed proximate the peripheral edges of the first and second windows and defining a sealed gap between the first and second windows, wherein the separator has an inlet and outlet to flow a gas through the sealed gap. In some embodiments, one or more support elements are disposed in the sealed gap to maintain a substantially uniform gap distance between the first and second windows. In some embodiments, a plurality of light adjusting elements are disposed in the gap to adjust one or more properties of light energy that passes through the light adjusting element.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: March 24, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David K. Carlson
  • Publication number: 20150075426
    Abstract: The present invention relates to a pulsed laser deposition system, and particularly relates to a pulsed laser deposition system capable of using several different targets. In the pulsed laser deposition system, a beam-splitting device is provided to split a UV laser beam into several UV laser beams and to introduce these UV laser beams to different targets simultaneously. Therefore, the pulsed laser deposition system can use several different targets and can be used to form doped epitaxial layer (III-V semiconductor film) and ternary or quaternary epitaxial layer (III-V semiconductor film).
    Type: Application
    Filed: January 17, 2014
    Publication date: March 19, 2015
    Applicant: National Taiwan University
    Inventors: CHING-FUH LIN, Yu-Wen CHENG, Hao-Yu WU
  • Publication number: 20150075432
    Abstract: Apparatus for improving substrate temperature uniformity in a substrate processing chamber are provided herein. In some embodiments, a substrate support processing chamber may include a chamber body having a bottom portion and a sidewall having a slit valve opening to load and unload substrates, a pin lift mechanism, disposed in a pin lift mechanism opening formed in the bottom portion of the chamber body, having a plurality of substrate support pins coupled to the pin lift mechanism, a movable substrate support heater having substrate support portion and a shaft, and a cover plate disposed about the shaft of the movable substrate support, wherein the cover plate covers the pin lift mechanism and pin lift mechanism opening.
    Type: Application
    Filed: September 12, 2014
    Publication date: March 19, 2015
    Inventors: GWO-CHUAN TZU, Kazuya Daito, SANG-HYEOB LEE
  • Publication number: 20150075431
    Abstract: A rotating disk reactor for chemical vapor deposition includes a vacuum chamber and a ferrofluid feedthrough comprising an upper and a lower ferrofluid seal that passes a motor shaft into the vacuum chamber. A motor is coupled to the motor shaft and is positioned in an atmospheric region between the upper and the lower ferrofluid seal. A turntable is positioned in the vacuum chamber and is coupled to the motor shaft so that the motor rotates the turntable at a desired rotation rate. A dielectric support is coupled to the turntable so that the turntable rotates the dielectric support when driven by the shaft. A substrate carrier is positioned on the dielectric support in the vacuum chamber for chemical vapor deposition processing. A heater is positioned proximate to the substrate carrier that controls the temperature of the substrate carrier to a desired temperature for chemical vapor deposition.
    Type: Application
    Filed: May 9, 2013
    Publication date: March 19, 2015
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Louise S. Barriss, Richard A. Comunale, Roger P. Fremgen, Alexander I. Gurary, Todd A. Luse, Robert White Milgate, III, John D. Pollock
  • Patent number: RE46136
    Abstract: A heating apparatus for regulating/controlling the surface temperature of a substrate is provided. At least a thermal pyrolytic graphite (TPG) layer is embedded in the heater to diffuse the temperature difference of the various components in the heating apparatus and provide temporal and spatial control of the surface temperature of the substrate, for a relatively uniform substrate temperature with the difference between the maximum and minimum temperature points on the substrate of less than 10° C.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: September 6, 2016
    Assignee: MOMENTIVE PERFORMANCE MATERIALS
    Inventors: John Mariner, Ajit Sane, Toshiki Ebata, Marc Schaepkens, Xiang Liu, Wei Fan