Moving Work Support Patents (Class 118/729)
  • Patent number: 11802339
    Abstract: A deposition apparatus comprises: an infeed chamber; a preheat chamber; a deposition chamber; and optionally at least one of a cooldown chamber and an outlet chamber. At least a first of the preheat chamber and the cooldown chamber contains a buffer system for buffering workpieces respectively passing to or from the deposition chamber.
    Type: Grant
    Filed: January 11, 2021
    Date of Patent: October 31, 2023
    Assignee: RTX Corporation
    Inventors: James W. Neal, David A. Litton, Brian T. Hazel, Michael J. Maloney, Eric M. Jorzik
  • Patent number: 11621150
    Abstract: A system includes an electrode. The electrode includes a showerhead having a first stem portion and a head portion. A plurality of dielectric layers is vertically stacked between the electrode and a first surface of a conducting structure. The plurality of dielectric layers includes M dielectric layers arranged adjacent to the head portion and P dielectric portions arranged around the first stem portion. The plurality of dielectric layers defines a first gap between the electrode and one of the plurality of dielectric layers, a second gap between adjacent ones of the plurality of dielectric layers, and a third gap between a last one of the plurality of dielectric layers and the first surface. A number of the plurality of dielectric layers and sizes of the first gap, the second gap, and the third gap are selected to prevent parasitic plasma between the first surface and the electrode.
    Type: Grant
    Filed: February 5, 2019
    Date of Patent: April 4, 2023
    Assignee: Lam Research Corporation
    Inventors: Douglas Keil, Edward J. Augustyniak, Karl Frederick Leeser, Mohamed Sabri
  • Patent number: 11555239
    Abstract: An apparatus and a method for introducing an optical lens into a turning device are disclosed. The apparatus includes a carrier body and a carrier element for receiving the lens. The carrier element is arranged in the carrier body. The carrier element has a supporting surface for receiving the lens and is displaceably mounted in relation to the carrier body.
    Type: Grant
    Filed: July 26, 2021
    Date of Patent: January 17, 2023
    Assignee: Carl Zeiss Vision International GmbH
    Inventor: Frank Macionczyk
  • Patent number: 11476135
    Abstract: Exemplary substrate processing systems may include a transfer region housing defining an internal volume. A sidewall of the transfer region housing may define a sealable access for providing and receiving substrates. The systems may include a plurality of substrate supports disposed within the transfer region. The systems may also include a transfer apparatus having a central hub including a first shaft and a second shaft concentric with and counter-rotatable to the first shaft. The transfer apparatus may include a first end effector coupled with the first shaft. The first end effector may include a plurality of first arms. The transfer apparatus may also include a second end effector coupled with the second shaft. The second end effector may include a plurality of second arms having a number of second arms equal to the number of first arms of the first end effector.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: October 18, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Charles T. Carlson, Jason M. Schaller, Luke Bonecutter, David Blahnik
  • Patent number: 11434569
    Abstract: Embodiments described herein relate to ground path systems providing a shorter and symmetrical path for radio frequency (RF) energy to propagate to a ground to reduce generation of the parasitic plasma. The ground path system bifurcates the processing volume of the chamber to form an inner volume that isolates an outer volume of the processing volume.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: September 6, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Tuan Anh Nguyen, Jason M. Schaller, Edward P. Hammond, IV, David Blahnik, Tejas Ulavi, Amit Kumar Bansal, Sanjeev Baluja, Jun Ma, Juan Carlos Rocha
  • Patent number: 11417545
    Abstract: A radiation shield and an assembly and a reactor including the radiation shield are disclosed. The radiation shield can be used to control heat flux from a susceptor heater assembly and thereby enable better control of temperatures across a surface of a substrate placed on a surface of the susceptor heater assembly.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: August 16, 2022
    Assignee: ASM IP Holding B.V.
    Inventor: Melvin Verbaas
  • Patent number: 11367632
    Abstract: In an embodiment, an apparatus comprising: a heater configured to heat a wafer located on a wafer staging area of the heater, the heater comprising a heater shaft extending below the wafer staging area; and a heater lift assembly comprising: a lift shaft configured to move the heater shaft in a vertical direction; a clamp that connects the heater shaft to the lift shaft; and a damper disposed on top of the clamp.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: June 21, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kai-Wen Wu, Chun-Ta Chen, Chin-Shen Hsieh, Cheng-Yi Huang
  • Patent number: 11133210
    Abstract: A method and apparatus for positioning and heating a substrate in a chamber are provided. In one embodiment, the apparatus comprises a substrate support assembly having a support surface adapted to receive the substrate and a plurality of centering fingers for supporting the substrate at a distance parallel to the support surface and for centering the substrate relative to a reference axis substantially perpendicular to the support surface. The plurality of the centering fingers are movably disposed along a periphery of the support surface, and each of the plurality of centering fingers comprises a first end portion for either contacting or supporting a peripheral edge of the substrate.
    Type: Grant
    Filed: June 17, 2019
    Date of Patent: September 28, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Dale R. Du Bois, Juan Carlos Rocha-Alvarez, Sanjeev Baluja, Ganesh Balasubramanian, Lipyeow Yap, Jianhua Zhou, Thomas Nowak
  • Patent number: 11104991
    Abstract: There is provided a processing apparatus including a stage disposed inside a chamber, and a cover member provided in an outer edge portion of the stage and configured to partition an interior of the chamber into a processing space above the stage and a bottom space below the stage. The cover member includes a first protrusion portion configured to make surface-to-surface contact with a surface of the stage, a second protrusion portion spaced apart from the first protrusion portion and configured to make surface-to-surface contact with the surface of the stage, and an exhaust path provided between the first protrusion portion and the second protrusion portion and configured to exhaust a gas from a buffer space formed by the cover member and the stage.
    Type: Grant
    Filed: October 19, 2017
    Date of Patent: August 31, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takashi Kamio, Toshiaki Fujisato
  • Patent number: 10815567
    Abstract: A film deposition device includes a reaction gas supply part which is in communication with a process space defined between a placement part and a ceiling part. An annular gap in a plan view exists between an outer peripheral portion of the placement part and an outer peripheral portion of the ceiling part in circumferential directions of the placement part and the ceiling part. A reaction gas supplied from the reaction gas supply part into the process space via the ceiling part flows outside of the process space via the annular gap. A plurality of gas flow channels, which is used for forming gas-flow walls, is formed in the outer peripheral portion of the ceiling part which provides the annular gap.
    Type: Grant
    Filed: September 18, 2015
    Date of Patent: October 27, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Katsuhito Hirose, Kunihiro Tada, Kenji Suzuki, Takeshi Shinohara
  • Patent number: 10781514
    Abstract: A deposition apparatus for cutting tools with a coating film capable of depositing the coating film in an appropriate temperature condition is provided. The deposition apparatus includes: a deposition chamber in which a coating film is formed on the cutting tools; a pre-treatment chamber and post-treatment chamber, each of which is connected to the deposition chamber through a vacuum valve; and a conveying line that conveys the cutting tools from the pre-treatment chamber to the post-treatment chamber going through the deposition chamber, the in-line deposition apparatus using a conveyed carrier on which rods supporting cutting tools are provided in a standing state along a conveying direction. The deposition chamber includes: a deposition region; a conveying apparatus; a heating region; and a carrier-waiting region.
    Type: Grant
    Filed: June 26, 2014
    Date of Patent: September 22, 2020
    Assignee: MITSUBISHI MATERIALS CORPORATION
    Inventors: Masao Kawamura, Toshikatsu Sudo, Atsushi Shinboya
  • Patent number: 10494714
    Abstract: The present invention provides chucks having a well that supports rods produced during chemical vapor deposition. The chucks can utilize slats and windows around the well up to which the rod can grow and become supported.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: December 3, 2019
    Assignee: OCI COMPANY LTD.
    Inventor: Wenjun Qin
  • Patent number: 10468221
    Abstract: Embodiments of the present disclosure generally relates a shadow frame including two opposing major side frame members adjacent to two opposing minor side frame members coupled together with a corner bracket, wherein the corner bracket includes a corner inlay having legs that extend in directions generally orthogonal to each other.
    Type: Grant
    Filed: September 5, 2018
    Date of Patent: November 5, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Gaku Furuta, Soo Young Choi, Yi Cui, Robin L. Tiner, Jinhyun Cho, Jiarui Wang, Suhail Anwar
  • Patent number: 10204768
    Abstract: A plasma processing device capable of positioning a protective member for covering the upper surface of a peripheral edge portion of a substrate, with high accuracy. A plasma processing device has, a platen on which a substrate K is placed, a gas supply device, a plasma generating device, an RF power supply unit, an annular and plate-shaped protective member configured to be capable of being placed on a peripheral portion of the platen and which covers a peripheral edge portion of the substrate K, support members supporting the protective member, and a lifting cylinder lifting up and down the platen. At least three first protrusions which are engaged with the peripheral portion of the platen are formed on a pitch circle on the lower surface of the protective member and the center of the pitch circle is co-axial with the central axis of the protective member.
    Type: Grant
    Filed: November 25, 2010
    Date of Patent: February 12, 2019
    Assignee: SPP TECHNOLOGIES CO., LTD.
    Inventors: Yasuyuki Hayashi, Kenichi Tomisaka
  • Patent number: 10186445
    Abstract: Embodiments of the present invention provide a chuck system for handling a wafer that comprises a first and a second main surface. The chuck system includes a chuck configured to hold the wafer at the second main surface facing the chuck and a release device. The chuck system further includes an actuator configured to lift the release device away from the chuck. The release device is configured such that the release device mechanically engages with the wafer at an edge portion of the second main surface of the wafer when being lifted, thereby releasing the wafer from the chuck.
    Type: Grant
    Filed: July 13, 2016
    Date of Patent: January 22, 2019
    Assignee: Infineon Technologies AG
    Inventors: Mathias Male, Christian Maier, Philemon Schweizer, Manfred Bucher, Thomas Steiner
  • Patent number: 10106450
    Abstract: A float glass system (10) includes a float bath (14) having a pool of molten metal (16). A chemical vapor deposition coater (32) is located in the float bath (14) above the pool of molten metal (16). The coater (32) includes at least one low-coherence interferometry probe (38) located in or on the coater (32) and connected to a low-coherence interferometry system (36). Another low-coherence interferometry probe 138 can be located outside an exit end of the float bath (14) and connected to the same or another low-coherence interferometry system (36).
    Type: Grant
    Filed: September 26, 2016
    Date of Patent: October 23, 2018
    Inventors: Yu Jiao, James W. McCamy, David Hanekamp
  • Patent number: 10072336
    Abstract: A film forming apparatus includes a rotary table having a loading area at a first surface side thereof and revolving a substrate loaded on the loading area, a rotation mechanism rotating the loading area such that the substrate rotates around its axis, a processing gas supply mechanism supplying a processing gas to a processing gas supply area so that a thin film is formed on the substrate which repeatedly passes through the processing gas supply area the revolution of the substrate, and a control part configured to perform a calculation of a rotation speed of the substrate based on a parameter including a rotation speed of the rotary table to allow an orientation of the substrate to be changed whenever the substrate is positioned in the processing gas supply area, and to output a control signal for rotating the substrate at a calculated rotation speed.
    Type: Grant
    Filed: October 29, 2015
    Date of Patent: September 11, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hitoshi Kato, Shigehiro Miura, Hiroyuki Kikuchi, Katsuyoshi Aikawa
  • Patent number: 9932280
    Abstract: Provided is a method for the preparation of a metal lattice-doping catalyst in an amorphous molten state, and the process of catalyzing methane to make olefins, aromatics, and hydrogen using the catalyst under oxygen-free, continuous flowing conditions. Such a process has little coke deposition and realizes atom-economic conversion. Under the conditions encountered in a fixed bed reactor (i.e. reaction temperature: 750˜1200° C.; reaction pressure: atmospheric pressure; the weight hourly space velocity of feed gas: 1000˜30000 ml/g/h; and fixed bed), conversion of methane is 8-50%. The selectivity of olefins is 30˜90%. And selectivity of aromatics is 10˜70%. There is no coking. The reaction process has many advantages, including a long catalyst life (>100 hrs), high stability of redox and hydrothermal properties under high temperature, high selectivity towards target products, zero coke deposition, easy separation of products, good reproducibility, safe and reliable operation, etc.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: April 3, 2018
    Assignee: DALIAN INSTITUTE OF CHEMICAL PHYSICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Xinhe Bao, Xiaoguang Guo, Guangzong Fang, Dehui Deng, Hao Ma, Dali Tan
  • Patent number: 9751698
    Abstract: The invention relates to a device for processing of substrates, especially wafers, with at least one pretreatment module, at least one aftertreatment module and at least one primary treatment module, and the pretreatment module and the aftertreatment module can be switched as a lock for the primary treatment module, and a corresponding method for processing of substrates, especially wafers.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: September 5, 2017
    Assignee: EV GROUP GMBH
    Inventors: Friedrich Paul Lindner, Peter-Oliver Hangweier
  • Patent number: 9741600
    Abstract: An apparatus and method for processing semiconductor substrates provides a substrate stage being a rotatable disc with a solid surface and a terraced edge with upper, intermediate and lower portions of increasing diameter. A hollow edge ring rests on the intermediate edge portion and a substrate disposed on the rotatable disc is lifted and transported by robot blades positioned beneath the edge ring and which lift the edge ring which holds the substrate around its edges. The rotatable disc and edge ring find application in MOCVD and other semiconductor manufacturing tools.
    Type: Grant
    Filed: June 7, 2016
    Date of Patent: August 22, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Chang Hsieh, Chung-chieh Hsu, Chian-kun Chan, Chih-Kuo Chang, Chih-Ping Chen, Hsu-Shui Liu, Kai Lo, Wei-ting Hsiao, Yung-Kai Lin
  • Patent number: 9721724
    Abstract: [Problem] To provide a highly efficient manufacturing method including an RH supply-diffusion process by which the number of magnets processed at a time can be increased without allowing sintered R-T-B based magnets to stick to holding members. [Solution] A method for producing a sintered R-T-B based magnet including the steps of: forming a stack of RH diffusion sources and sintered R-T-B based magnet bodies by stacking the diffusion sources and the magnet bodies alternately with a holding member having openings interposed; and carrying out an RH supply-diffusion process by loading the stack into a process vessel and creating an atmosphere with a pressure of 0.1 Pa to 50 Pa and a temperature of 800° C. to 950° C. within the process vessel.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: August 1, 2017
    Assignee: HITACHI METALS, LTD.
    Inventor: Tohru Obata
  • Patent number: 9637261
    Abstract: A packing apparatus for use in a sterile environment has an article loading and supply system. The loading system has a hatch in a first wall (P1) positioned at a height corresponding to a normal and ergonomic working height for an operator. The hatch faces an operating station which is to be supplied with the articles. A container element (2) has an internal volume (25) for containing articles, with a first article loading opening (21) and a second article unloading opening (22). The container element (2) is positioned in the sterile environment and is movable so that it can be positioned in a lowered position (PA) which corresponds to the hatch position for loading articles through the first loading opening, and then positionable in a raised position (PS) in which the second loading opening (22) is above the operating station (S1) for unloading the articles to be supplied thereto.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: May 2, 2017
    Assignee: Marchesini Group S.p.A.
    Inventor: Giuseppe Monti
  • Patent number: 9587117
    Abstract: The invention provides coalesced and un-coalesced organic/inorganic films and methods of use.
    Type: Grant
    Filed: April 3, 2013
    Date of Patent: March 7, 2017
    Assignee: YISSUM RESEARCH DEVELOPMENT COMPANY OF THE HEBREW UNIVERSITY OF JERUSALEM LTD.
    Inventors: Roie Yerushalmi, Sergey Ishchuk, Niv Kaynan, Dereje Hailu Taffa, Thangavel Subramani
  • Patent number: 9564348
    Abstract: Processing chamber shutter blade and robot blade assemblies are constructed to eliminate thermal effects on the placement of elements in processing chambers. Such blade assemblies may contain at least two parts, which may include a positioning member including a low CTE material and a thermal compensating member including a high CTE material. The positioning member includes a coupling point and a reference point on a reference axis separated by a first distance. The thermal compensating member includes a connection point and a controlled point separated by another distance that is less than the first distance. A distance ratio of the first distance to the other distance is substantially equal to a CTE ratio of the high CTE material to the low CTE material, and the positioning member is joined to the thermal compensating member through the coupling point and the connection point.
    Type: Grant
    Filed: March 17, 2014
    Date of Patent: February 7, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ilya Lavitsky, Keith A. Miller
  • Patent number: 9558971
    Abstract: A substrate holding apparatus capable of reducing an amount of deflection of a substrate, such as a wafer, is disclosed. The substrate holding apparatus includes: a plurality of chucks configured to hold a peripheral edge of a substrate; at least one support member disposed below the substrate; and an actuating device configured to bring the chucks into contact with the peripheral edge of the substrate while elevating the support member to bring the support member into contact with a lower surface of the substrate, and configured to move the chucks in a direction away from the peripheral edge of the substrate while lowering the support member to separate the support member away from the lower surface of the substrate.
    Type: Grant
    Filed: June 19, 2014
    Date of Patent: January 31, 2017
    Assignee: Ebara Corporation
    Inventors: Mitsuru Miyazaki, Takuya Inoue
  • Patent number: 9522839
    Abstract: Provided is an optical fiber base material manufacturing method that includes, while rotating a starting member formed by fusing both ends of a core rod to dummy rods on an axis of the starting member, moving the starting member and burners back and forth relative to each other and depositing glass microparticles on a surface of the starting member. This method also includes setting two or more axes as back and forth movement axes allowing for back and forth movement relative to the starting member; providing a burner facing the starting member on each of the axes; causing each burner to traverse the starting member to an end of the starting member; and changing a position where at least two burners pass by each other during the traversing movement, in a longitudinal direction of the starting member.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: December 20, 2016
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Makoto Yoshida
  • Patent number: 9512519
    Abstract: An atomic layer deposition apparatus includes a chamber including a plurality of regions; and a heating device respectively providing specific temperature ranges for the plurality of regions.
    Type: Grant
    Filed: December 3, 2012
    Date of Patent: December 6, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Yi Chuang, Hsing-Jui Lee, Ming-Te Chen
  • Patent number: 9469559
    Abstract: A float glass system (10) includes a float bath (14) having a pool of molten metal (16). A chemical vapor deposition coater (32) is located in the float bath (14) above the pool of molten metal (16). The coater (32) includes at least one low-coherence interferometry probe (38) located in or on the coater (32) and connected to a low-coherence interferometry system (36). Another low-coherence interferometry probe 138 can be located outside an exit end of the float bath (14) and connected to the same or another low-coherence interferometry system (36).
    Type: Grant
    Filed: June 25, 2014
    Date of Patent: October 18, 2016
    Assignee: PPG Industries Ohio, Inc.
    Inventors: Yu Jiao, James W. McCamy, David Hanekamp
  • Patent number: 9453683
    Abstract: A controller of a heat treatment apparatus forms a phosphorous-doped polysilicon film (D-poly film) on a semiconductor wafer, and determines whether the D-poly film satisfies a target heat treatment characteristic. When it is determined that the target heat treatment characteristic is not satisfied, the controller calculates a temperature in a reaction tube and flow rates of process gas supply pipes, which satisfy the target heat treatment characteristic, based on a heat treatment characteristic of the D-poly film and a model indicating relationships between changes in the temperature in the reaction tube and the flow rates of the process gas supply pipes, and a change in a heat treatment characteristic. The controller forms the D-poly film on the semiconductor wafer according to heat treatment conditions including the calculated temperature and the calculated flow rates, so as to satisfy the target heat treatment characteristic.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: September 27, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yuichi Takenaga, Daisuke Kudo
  • Patent number: 9425163
    Abstract: A bonding machine for bonding semiconductor elements, the bonding machine including: a support structure configured to support a substrate; a bond head assembly, the bond head assembly including a bonding tool configured to bond a plurality of semiconductor elements to the substrate; and a calibration tool including a contact portion configured to be positioned between the bonding tool and the support structure, the contact portion configured to be contacted by each of the bonding tool and the support structure simultaneously during a calibration operation.
    Type: Grant
    Filed: August 7, 2015
    Date of Patent: August 23, 2016
    Assignee: Kulicke and Soffa Industries, Inc.
    Inventors: Michael P. Schmidt-Lange, Matthew B. Wasserman, Christopher W. Braun
  • Patent number: 9410249
    Abstract: Embodiments of the present invention provide a chuck system for handling a wafer that comprises a first and a second main surface. The chuck system includes a chuck configured to hold the wafer at the second main surface facing the chuck and a release device. The chuck system further includes an actuator configured to lift the release device away from the chuck. The release device is configured such that the release device mechanically engages with the wafer at an edge portion of the second main surface of the wafer when being lifted, thereby releasing the wafer from the chuck.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: August 9, 2016
    Assignee: Infineon Technologies AG
    Inventors: Mathias Male, Christian Maier, Philemon Schweizer, Manfred Bucher, Thomas Steiner
  • Patent number: 9269547
    Abstract: Semiconductor equipment is disclosed in this invention. The semiconductor equipment includes a reaction chamber, a wafer susceptor, and a liner device. The reaction chamber includes an opening and a circular inner wall. The wafer susceptor is capable of carrying at least one wafer. The liner device is disposed between the wafer susceptor and the circular inner wall of the reaction chamber. The liner device is capable of moving vertically between a first position and a second position. The liner device includes at least one venting opening, wherein the venting opening is connected with a venting device. Particles which are accumulated within the liner device can be removed by the venting device.
    Type: Grant
    Filed: May 17, 2012
    Date of Patent: February 23, 2016
    Assignee: Hermes-Epitek Corporation
    Inventors: Jui-Sheng Cheng, Tsung-Hsun Han, Tsan-Hua Huang
  • Patent number: 9163311
    Abstract: Provided is a film forming apparatus for forming a film on a substrate maintained within a film forming container by supplying a raw material gas to the substrate. The film forming container includes a substrate maintaining unit, a supply mechanism configured to include a supply pipe with supply holes formed thereon to supply a raw material gas to the interior of the film forming container through the supply holes, an exhaust mechanism configured to include an exhaust pipe with exhaust holes formed thereon to exhaust gas from the interior of the film forming container through the exhaust holes, and a controller configured to control the substrate maintaining unit, the supply mechanism, and the exhaust mechanism. The supply holes and the exhaust holes are formed to face each other with the substrate maintained in the substrate maintaining unit interposed therebetween.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: October 20, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Harunari Hasegawa, Kippei Sugita, Atsushi Ando, Yoshiki Fukuhara, Makoto Takahashi
  • Patent number: 9129636
    Abstract: The present invention provides a method for manufacturing a magnetic recording medium by mounting a substrate for film formation on a carrier, sequentially transporting said substrate into a plurality of connected chambers, and forming at least a magnetic film and a carbon protective film on said substrate for film formation within said chambers, wherein said method comprises a step of conducting ashing to remove an accumulated carbon protective film adhered to a carrier surface, which is performed following a step of removing a magnetic recording medium from said carrier following film formation, but prior to a step of mounting a substrate for film formation on said carrier.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: September 8, 2015
    Assignee: SHOWA DENKO K.K.
    Inventor: Gohei Kurokawa
  • Publication number: 20150147890
    Abstract: A multi-mode thin film deposition apparatus including a reaction chamber, a carrying seat, a showerhead, an inert gas supplying source, a first gas inflow system and a second gas inflow system is provided. The carrying seat is disposed in the reaction chamber. The showerhead has a gas mixing room and gas holes disposed at a side of the gas mixing room. The gas mixing room is connected to the reaction chamber through the plurality of gas holes which faces the carrying seat. The first gas inflow system is connected to the reaction chamber and supplies a first process gas during a first thin film deposition process mode. The inert gas supplying source is connected to the gas mixing room for supplying an inert gas. The second gas inflow system is connected to the gas mixing room to supply a second process gas during a second thin film deposition process mode.
    Type: Application
    Filed: December 23, 2013
    Publication date: May 28, 2015
    Applicant: Industrial Technology Research Institute
    Inventors: Kung-Liang Lin, Chien-Chih Chen, Fu-Ching Tung, Chih-Yung Chen, Shih-Chin Lin, Kuan-Yu Lin, Chia-Hao Chang, Shieh-Sien Wu
  • Patent number: 9034157
    Abstract: An apparatus and associated method for reorienting the magnetic anisotropy of magnetic recording discs. A pallet that is moveable along a path of travel is also sized to selectively hold either a first magnetic recording disc of a first size or a second magnetic recording disc of a second size different than the first size. A first processing chamber in the path of travel is adapted for forming a soft underlayer (SUL) of magnetic material with non-radially oriented magnetic anisotropy on a substrate corresponding to one of the first and second magnetic recording discs. A second processing chamber in the path of travel downstream of the first processing chamber is adapted for selectively re-orienting the SUL's magnetic anisotropy via a magnetic source emanating a first magnetic field if the substrate corresponds to the first magnetic recording disc and emanating a different second magnetic field if the substrate corresponds to the second magnetic recording disc.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: May 19, 2015
    Assignee: Seagate Technology LLC
    Inventors: Paul S. McLeod, Charles F. Brucker, Jeffrey S. Reiter
  • Patent number: 9028614
    Abstract: When processing such as SiC epitaxial growth is performed at an ultrahigh temperature of 1500° C. to 1700° C., a film-forming gas can be decreased to heat-resistant temperature of a manifold and film quality uniformity can be improved. A substrate processing apparatus includes a reaction chamber for processing a plurality of substrates, a boat for holding the plurality of substrates, a gas supply nozzle for supplying a film-forming gas to the plurality of substrates, an exhaust port for exhausting the film-forming gas supplied into the reaction chamber, a heat exchange part which defines a second flow path narrower than a first flow path defined by an inner wall of the reaction chamber and the boat, and a gas discharge part installed under the lowermost substrate of the plurality of substrates.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Daisuke Hara, Takeshi Itoh, Masanao Fukuda, Takatomo Yamaguchi, Hiroaki Hiramatsu, Shuhei Saido, Takafumi Sasaki
  • Patent number: 9027259
    Abstract: Hydrating an object bearing a latent fingerprint and then selectively drying the object leaving the fingerprint hydrated. The hydrated fingerprint is then coated with cyanoacrylate ester, preferably in a heat accelerated cyanoacrylate ester vacuum chamber. Hydrating is preferably accomplished by chilling the object below a dew point and then exposing the object to humidified air to condense a thin uniform layer of water over the object and latent fingerprint. Drying is preferably done with a vacuum. After drying reaches the preferred state, the CE is heated and coats the condensation-hydrated latent fingerprint. Preferably, the method is implemented in an automated system using one computer-controlled chamber for chilling, condensing, vacuum drying, and CE coating the latent fingerprint. The operator simply puts the object in the chamber, initiates the process by computer, and is prompted by the computer to remove the recovered latent print. Prints unrecoverable by prior art means are recovered.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: May 12, 2015
    Inventor: William Bryon Stones
  • Patent number: 9028613
    Abstract: A rotating type thin film deposition apparatus having an improved structure that allows continuous deposition, and a thin film deposition method used by the rotating type thin film deposition apparatus are provided. The rotating type thin film deposition apparatus includes a deposition device; a circulation running unit that runs a deposition target on a circulation track via a deposition region of the deposition device; and a support unit that supports the deposition target and moves along the circulation track. Thin layers can be precisely and uniformly formed on the entire surface of a deposition target, and since deposition is performed while a plurality of deposition targets move along a caterpillar track, a working speed is faster compared to a method involving a general reciprocating motion, and the size of the thin film deposition apparatus can be reduced.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: May 12, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jin-Kwang Kim, Sang-Joon Seo, Seung-Hun Kim
  • Publication number: 20150122180
    Abstract: A substrate transfer apparatus includes a guide rail, a carrier, a magnetic levitation unit, and a transferring unit. The guide rail is in a vacuum evacuable chamber. The carrier may carry a substrate and may be linearly movable along the guide rail. The magnetic levitation unit is configured to generate a magnetic levitation force between the guide rail and the carrier. The transferring unit is configured to generate a momentum for linearly transferring the carrier and includes a plurality of first transferring magnetic material members on an upper surface of the carrier, a plurality of second transferring magnetic material members over the carrier and spaced apart from the first transferring magnetic material members, and a plurality of containers in which the plurality of second transferring magnetic material members is respectively disposed.
    Type: Application
    Filed: October 22, 2014
    Publication date: May 7, 2015
    Inventors: Yun-Ho CHANG, Hyuck-Mok KWON
  • Publication number: 20150122181
    Abstract: A susceptor support portion of the present invention includes a susceptor shaft and a substrate lift portion. The susceptor shaft includes a support column and a plurality of arms that extend radially from the support column, the substrate lift portion includes a support column and a plurality of arms that extend radially from the support column, the arm of the susceptor shaft includes a first arm, a second arm coupled to the first arm, and a third arm coupled to the second arm, from the support column side of the susceptor shaft, the second arm being provided with a through hole which passes through the second arm in a vertical direction, and a width of the first arm of the susceptor shaft is smaller than a width of the second arm of the susceptor shaft.
    Type: Application
    Filed: November 17, 2014
    Publication date: May 7, 2015
    Inventors: Akira OKABE, Yoshinobu MORI
  • Patent number: 9023429
    Abstract: A method of manufacturing a semiconductor device including: mounting a substrate on a substrate mounting member that is disposed in a reaction container; heating the substrate at a predetermined processing temperature and supplying a first gas and a second gas to the substrate to process the substrate; stopping supply of the first gas and the second gas, and supplying an inert gas into the reaction container; and unloading the substrate to outside the reaction container.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yuichiro Takeshima, Osamu Kasahara, Kazuyuki Toyoda, Junichi Tanabe, Katsuhiko Yamamoto, Hisashi Nomura
  • Publication number: 20150096495
    Abstract: An apparatus for depositing atomic layers comprises a substrate moving mechanism, a showerhead comprising at least one injection unit, and a showerhead reciprocating mechanism. The showerhead injects source and reactant precursors to the substrate while the substrate is transported. The number of the atomic layers deposited on the substrate can be controlled by controlling the moving speed of the substrate and the reciprocating speed of the showerhead. The invention provides an apparatus and a method with high throughput and small footprint. The invention also provides an apparatus and a method configured to deposit the atomic layers on a gas permeable substrate.
    Type: Application
    Filed: December 15, 2014
    Publication date: April 9, 2015
    Applicant: MTS Nanotech Inc.
    Inventor: In Kwon Jeong
  • Patent number: 8986451
    Abstract: Described is a linear batch CVD system that includes a deposition chamber, one or more substrate carriers, gas injectors and a heating system. Each substrate carrier is disposed in the deposition chamber and has at least one receptacle configured to receive a substrate. The substrate carriers are configured to hold substrates in a linear configuration. Each gas injector includes a port configured to supply a gas in a uniform distribution across one or more of the substrates. The heating system includes at least one heating element and a heating control module for uniformly controlling a temperature of the substrates. The system is suitable for high volume CVD processing of substrates. The narrow width of the deposition chamber enables a uniform distribution of precursor gases across the substrates along the length of the reaction chamber and permits a greater number of substrates to be processed in comparison to conventional deposition chambers.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: March 24, 2015
    Assignee: Singulus MOCVD GmbH I. GR.
    Inventor: Piero Sferlazzo
  • Publication number: 20150079701
    Abstract: A manufacturing apparatus includes a chuck for contacting a peripheral portion of a workpiece. The apparatus includes a nozzle to eject a process fluid (liquid or gas) toward a first surface while the workpiece is in contact with the chuck. The apparatus also includes a plate having an opening configured such that a support fluid (liquid or gas) can be ejected toward a second surface of the workpiece while the workpiece is in contact with the chuck. In an example, the support fluid can be used to counteract a displacement of the interior portion in the direction perpendicular to the plane of the workpiece due to, for example, gravity and/or hydrostatic pressure of the process fluid.
    Type: Application
    Filed: February 28, 2014
    Publication date: March 19, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Daisuke YAMASHITA
  • Patent number: 8980001
    Abstract: A susceptor having a recessed portion and a ring-like step portion is arranged in a reaction chamber, and a plurality of through bores are formed in a bottom wall in the recessed portion excluding the step portion. A lift pin inserted in each of the through bores temporarily holds a wafer, then a lower surface of an outer peripheral portion of the wafer is mounted on the step portion to accommodate the wafer in the recessed portion, and a raw material gas is circulated in the reaction chamber to form an epitaxial layer on a wafer surface in the recessed portion. When forming the epitaxial layer on the wafer surface, the lift pin protrudes upwards from an upper surface of the bottom wall, and a height h of a top portion of the lift pin based on the upper surface of the bottom wall as a reference is set to the range from a position where the height h exceeds 0 mm to a position immediately before the lift pin comes into contact with the wafer.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: March 17, 2015
    Assignee: Sumco Corporation
    Inventors: Masaya Sakurai, Masayuki Ishibashi
  • Publication number: 20150072075
    Abstract: A film-forming apparatus includes an aerosol generation device which generates an aerosol including a solution of a film-forming material dispersed in a carrier gas, a chamber which vaporizes the aerosol such that fine particles of the film-forming material are generated from the aerosol that is generated by the aerosol generation device, a nozzle which discharges the fine particles generated by the chamber toward a substrate, and a moving mechanism which executes relative movement of the nozzle and the substrate along a surface of the substrate. The nozzle has a discharge port which discharges the fine particles to a slit-shaped region extending in a direction orthogonal to a moving direction of the relative movement between the nozzle and the substrate executed by the moving mechanism.
    Type: Application
    Filed: November 14, 2014
    Publication date: March 12, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Norio WADA
  • Patent number: 8973526
    Abstract: A plasma deposition apparatus includes a cathode assembly including a cathode disk and a water-coolable cathode holder supporting the cathode disk, an anode assembly including a water-coolable anode holder, a substrate mounted on the anode holder to serve as an anode, and a substrate holder mounting and supporting the substrate, and a reactor for applying a potential difference between opposing surfaces of the cathode assembly and the anode assembly under a vacuum state to form plasma of a raw gas. The cathode disk comes into thermal contact with the cathode holder using at least one of a self weight and a vacuum absorption force so as to permit thermal expansion of the cathode disk.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: March 10, 2015
    Assignee: Korea Institute of Science and Technology
    Inventors: Wook Seong Lee, Young Joon BaiK, Jong-Keuk Park, Gyu Weon Hwang, Jeung-hyun Jeong
  • Patent number: 8968474
    Abstract: A substrate processing apparatus includes: a chamber including a body having an open portion and a door for blocking the open portion; a substrate supporter connected to the door; and a door operating means including a rotation shaft for straightly moving and rotating the door, the door and the body separated in parallel from each other by straightly moving the door.
    Type: Grant
    Filed: September 25, 2011
    Date of Patent: March 3, 2015
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kun-Young Lim, Do-Hyung Kim, Ju-Ill Lee
  • Patent number: 8961688
    Abstract: Disclosed are a method and a device for plasma treating workpieces (5). Said workpiece is inserted into a chamber (7) of a treatment station (3), which can be at least partly evacuated, and is positioned within the treatment station by means of a holding element. In order to simultaneously supply at least two chambers with at least one operating means, a flow of the operating means is branched at least once so as to form at least two partial flows (55).
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: February 24, 2015
    Assignee: KHS Corpoplast GmbH
    Inventors: Michael Lizenberg, Frank Lewin, Hartwig Müller, Klaus Vogel, Gregor Arnold, Stephan Behle, Andreas Lüttringhaus-Henkel, Matthias Bicker, Jürgen Klein, Marten Walther