Moving Work Support Patents (Class 118/729)
  • Publication number: 20130189447
    Abstract: A method of producing a parylene coating on at least one surface of at least one component includes providing a first gas containing parylene monomers and depositing the parylene monomers on the at least one surface of the component by supplying the first gas containing the parylene monomers by a first nozzle to the at least one surface, wherein the component is disposed in an environment at atmospheric pressure.
    Type: Application
    Filed: March 8, 2011
    Publication date: July 25, 2013
    Applicant: OSRAM Opto Semiconductors GmbH
    Inventors: Bert Braune, Christina Keith, Ivan Galesic
  • Patent number: 8489237
    Abstract: Methods correcting wafer position error are provided. The methods involve measuring wafer position error on a robot during transfer to an intermediate station. This measurement data is then used by a second robot to perform wafer pick moves from the intermediate station with corrections to center the wafer. Wafer position correction may be performed at only one location during the transfer process. Also provided are systems and apparatuses for transferring wafers using an intermediate station.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: July 16, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Damon Genetti
  • Patent number: 8481355
    Abstract: A system and associated process for vapor deposition of a thin film layer on a photovoltaic (PV) module substrate is includes establishing a vacuum chamber and introducing the substrates individually into the vacuum chamber. A conveyor system is operably disposed within the vacuum chamber and is configured for conveying the substrates in a serial arrangement through a vapor deposition apparatus within the vacuum chamber at a controlled constant linear speed. A post-heat section is disposed within the vacuum chamber immediately downstream of the vapor deposition apparatus in the conveyance direction of the substrates. The post-heat section is configured to maintain the substrates conveyed from the vapor deposition apparatus in a desired heated temperature profile until the entire substrate has exited the vapor deposition apparatus.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: July 9, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Mark Jeffrey Pavol, Russell Weldon Black, Brian Robert Murphy, Christopher Rathweg, Edwin Jackson Little, Max William Reed
  • Patent number: 8470094
    Abstract: Apparatus for continuous coating has a chamber wall which forms a processing chamber, thermal insulation which forms a processing area within the chamber, a transportation device for substrates located in the processing area with a substrate transportation direction of the substrates lying in the lengthwise extension of the apparatus for continuous coating, and heating equipment which heats the substrates, is designed to minimize unwanted coating, in particular of parts of the apparatus, in order to minimize the expense of maintaining and servicing the apparatus A condensation element is positioned in the processing chamber, which extends into the processing area and binds the arising vapor through condensation.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: June 25, 2013
    Assignee: VON ARDENNE Anlagentechnik GmBH
    Inventors: Hubertus Von Der Waydbrink, Siegfried Scheibe, Jens Meyer, Andrej Wolf, Uwe Traeber, Michael Hentschel
  • Publication number: 20130152859
    Abstract: A pedestal positioning assembly system for use in a substrate processing system includes a pedestal rigidly attached to a pedestal shaft, a reference rigidly attached to the substrate processing system, a lateral adjustment assembly to adjust a lateral location of the pedestal relative to the reference, and a vertical adjustment assembly to adjust a tilt of the pedestal relative to the reference. The lateral adjustment assembly and the vertical adjustment assembly are external to a processing chamber and are coupled to the pedestal disposed within the processing chamber through the pedestal shaft. The reference can be a ring and the lateral adjustment assembly substantially centers the pedestal within the ring. A method of adjusting a pedestal includes leveling the pedestal, translating the pedestal, calibrating the pedestal height to a preheat ring level, and checking the level and location of the pedestal while rotating the pedestal.
    Type: Application
    Filed: February 13, 2013
    Publication date: June 20, 2013
    Applicant: Applied Materials, Inc.
    Inventor: Applied Materials, Inc.
  • Publication number: 20130139754
    Abstract: Apparatus and method for vapor deposition of a uniform thickness thin film of lubricant on at least one surface of a disk-shaped substrate. The invention has particular utility in depositing thin films of polymeric lubricants onto disc-shaped substrates in the manufacture of magnetic and MO recording media.
    Type: Application
    Filed: January 28, 2013
    Publication date: June 6, 2013
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventor: SEAGATE TECHNOLOGY LLC
  • Publication number: 20130139753
    Abstract: Disclosed herein is an apparatus for manufacturing a substrate. The apparatus for manufacturing a substrate includes: a reaction gas ejector ejecting reaction gas; a lift pin supporting the substrate and having a header contacting a rear surface of the substrate; and a support chuck having a lift pin insertion unit inserted with the lift pin and moving vertically and including a ring in a header insertion portion into which the header is inserted in the lift pin insertion unit.
    Type: Application
    Filed: March 1, 2012
    Publication date: June 6, 2013
    Applicant: SAMSUNG ELECTRO-MECHANICS CO., LTD.
    Inventors: Joon Seok Kang, Seung Wan Shin
  • Publication number: 20130143415
    Abstract: Provided are atomic layer deposition apparatus and methods including a gas distribution plate comprising a plurality of elongate gas ports including at least one first reactive gas port in fluid communication with a first reactive gas and at least one second reactive gas port in fluid communication with a gas manifold. The gas manifold is in fluid communication with at least a second reactive gas different from the first reactive gas and a purge gas. Also provided are atomic layer deposition apparatus and methods including linear energy sources in one or more of region before the gas distribution plate and a region after the gas distribution plate.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Joseph Yudovsky, Mei Chang, Steven D. Marcus, Garry K. Kwong
  • Patent number: 8455370
    Abstract: This invention provides methods that permit wafers to be loaded and unloaded in a gas-phase epitaxial growth chamber at high temperatures. Specifically, this invention provides a method for moving wafers or substrates that can bathe a substrate being moved in active gases that are optionally temperature controlled. The active gases can act to limit or prevent sublimation or decomposition of the wafer surface, and can be temperature controlled to limit or prevent thermal damage. Thereby, previously-necessary temperature ramping of growth chambers can be reduced or eliminated leading to improvement in wafer throughput and system efficiency.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: June 4, 2013
    Assignee: Soitec
    Inventors: Michael Albert Tischler, Ronald Thomas Bertram, Jr.
  • Publication number: 20130130509
    Abstract: A substrate clamped to a stage is moved in a rastering motion in a site-isolated deposition chamber. The raster pattern may be a radial pattern, predetermined X-Y pattern, horizontal/vertical pattern or random (free-form) pattern. The chamber includes a sputter source to generate the sputtered material which is delivered through an aperture positioned over the substrate. By moving the substrate in a rastering motion, the sputtered material is deposited more equally and uniformly.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 23, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Kent Riley Child, Tony P. Chiang
  • Publication number: 20130115373
    Abstract: A rotating type thin film deposition apparatus having an improved structure that allows continuous deposition, and a thin film deposition method used by the rotating type thin film deposition apparatus are provided. The rotating type thin film deposition apparatus includes a deposition device; a circulation running unit that runs a deposition target on a circulation track via a deposition region of the deposition device; and a support unit that supports the deposition target and moves along the circulation track. Thin layers can be precisely and uniformly formed on the entire surface of a deposition target, and since deposition is performed while a plurality of deposition targets move along a caterpillar track, a working speed is faster compared to a method involving a general reciprocating motion, and the size of the thin film deposition apparatus can be reduced.
    Type: Application
    Filed: April 10, 2012
    Publication date: May 9, 2013
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventors: Jin-Kwang Kim, Sang-Joon Seo, Seung-Hun Kim
  • Patent number: 8435350
    Abstract: A device for supplying a large number of consumer stations with a predetermined amount of a process medium, in particular a coating device for containers, has a supply line for the process medium and a connection at the consumer station. In order to make such a device simpler from the structural point of view and less expensive, a unit is used, which keeps a predetermined flow rate constant and which comprises a capillary path extending before each connection and dimensioned in accordance with the predetermined amount of process medium, and a unit which is associated with a plurality of connections and which is used for maintaining a defined flow velocity along the capillary path.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: May 7, 2013
    Assignee: Krones AG
    Inventors: Heinz Humele, Andreas Kursawe, Andreas Kraus, Jochen Krueger, John Felts
  • Publication number: 20130109193
    Abstract: A substrate processing apparatus comprises a processing chamber for storing a substrate and performing a specified processing on the substrate, a substrate holding jig for holding the substrate in the processing chamber, a placement stand capable of moving the substrate holding jig inside and outside the processing chamber while mounting the substrate holding jig, a substrate holding jig movement mechanism for moving the substrate holding jig to a location different from the placement stand while holding the substrate holding jig, and a substrate holding jig movement suppression mechanism for suppressing vertical and horizontal movement of the substrate holding jig in order to keep the substrate holding jig mounted on the placement unit of the substrate holding jig movement mechanism.
    Type: Application
    Filed: November 30, 2012
    Publication date: May 2, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: HITACHI KOKUSAI ELECTRIC INC.
  • Patent number: 8431439
    Abstract: A strip-shape flexible substrate is transported over a long horizontal distance, with its width extending in the vertical direction, the position of the substrate in the vertical direction is maintained with high precision, and the films are deposited onto its surface. When depositing the thin films to manufacture a thin film laminated body, at least one pair of gripping rollers arranged in at least one space between film deposition chambers, and which grasps an upper-side edge portion of the substrate with its width oriented in the vertical direction, are installed such that the rotation direction of the gripping rollers is diagonally upward, at an angle relative to the direction of transport of the substrate, and by changing the force with which the gripping rollers grasp the substrate, a force lifts the substrate, and the height of the substrate can be controlled.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: April 30, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventor: Shoji Yokoyama
  • Patent number: 8430054
    Abstract: A portable fingerprint development system having a container and a base plate. The container includes a plurality of joined walls that present an opening and a rim surrounding the opening. The base plate has opposed first and second surfaces. The first surface mounts a heater that is operable to heat a fingerprint development material. The base plate is moveable between a transport position, in which the first surface is adjacent the container's rim and the heater is positioned within the container, and an operation position, in which the second surface is adjacent the container's rim to enclose an interior volume defined by the container's walls and the base plate. Preferably, an exhaust system is mounted on the first surface of the base plate for removing and/or filtering fumes within the container.
    Type: Grant
    Filed: May 19, 2010
    Date of Patent: April 30, 2013
    Assignee: Labconco Corporation
    Inventors: Jim Hunter, Mark S. Schmitz, Mark Schultz
  • Patent number: 8430966
    Abstract: An apparatus and related process are provided for vapor deposition of a sublimated source material as a thin film on a photovoltaic (PV) module substrate. A receptacle is disposed within a vacuum head chamber and is configured for receipt of a source material. A heated distribution manifold is disposed below the receptacle and includes a plurality of passages defined therethrough. The receptacle is indirectly heated by the distribution manifold to a degree sufficient to sublimate source material within the receptacle. A distribution plate is disposed below the distribution manifold and at a defined distance above a horizontal plane of a substrate conveyed through the apparatus. The distribution plate includes a pattern of holes therethrough that further distribute the sublimated source material passing through the distribution manifold onto the upper surface of the underlying substrate.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: April 30, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Christopher Rathweg, Max William Reed, Mark Jeffrey Pavol, Scott Daniel Feldman-Peabody, Russell Weldon Black
  • Publication number: 20130098294
    Abstract: A conveyor assembly for conveying substrates through a vapor deposition system includes a first carriage rail disposed at a drive side of the conveyor assembly. A roller position is defined along the carriage rail, and a drive wheel is disposed at the roller position and configured for driving engagement against a roller. The drive wheel includes an axial cylindrical extension and an elastomeric sleeve disposed on the cylindrical extension, with the elastomeric sleeve having a defined outer diameter. With this configuration, a roller placed in the roller position rests by gravity on the elastomeric sleeve and is rotationally driven by rotation of the drive wheel such that a substrate conveyed by the roller is displaced a defined distance for each rotation of the drive wheel as a function of the outer diameter of the elastomeric sleeve.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: PRIMESTAR SOLAR, INC.
    Inventor: Christopher Rathweg
  • Patent number: 8424485
    Abstract: The invention aims to provide substrate treatment equipment that can automatically collect a substrate in a normal condition without needing manual operation. The equipment includes a substrate holder 26 for holding substrates 12 in a multistage manner and a substrate transfer unit 34 for transferring the substrates 12 into the substrate holder 26, wherein a substrate holding condition of the substrate holder 26 is sensed by a sensing section 60. The sensing section 60 has photo-sensors 64a, 64b, and sensing waveforms sensed by the photo-sensors 64a, 64b are compared with a normal waveform. A control section 66 is provided, which controls a substrate transfer unit 34 such that substrates 12 other than at least a substrate 12 that was determined to be abnormal are transferred by the unit.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: April 23, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Makoto Hirano, Akihiro Yoshida
  • Patent number: 8414968
    Abstract: An in-line film forming apparatus capable of conveying a carrier at a high speed, increasing the exhaust capability within a film forming chamber, and easily realizing a high vacuum degree in a short time is provided. A conveyor mechanism has a linear motor drive mechanism which drives the carrier in a noncontact state, a horizontal guide mechanism which is provided so as to be able to contact a side portion of the carrier, and guides the carrier driven by the linear motor drive mechanism in a horizontal direction, and a vertical guide mechanism which is provided so as to be able to contact a lower end of the carrier, and guides the carrier driven by the linear motor drive mechanism in the vertical direction.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: April 9, 2013
    Assignee: Showa Denko K.K.
    Inventor: Satoru Ueno
  • Publication number: 20130084668
    Abstract: Apparatus for vapor deposition of a sublimated source material as a thin film on a photovoltaic module substrate is generally provided. The apparatus can include a deposition head; a distribution plate disposed below said distribution manifold and above an upper surface of a substrate transported through said apparatus and defining a pattern of passages therethrough; and, a carrying mechanism configured to transport the substrate in a machine direction under the distribution plate such that an upper surface of the substrate defines an arc in a cross-direction that is substantially perpendicular to the machine direction. Processes are also generally provided for vapor deposition of a sublimated source material to form thin film on a photovoltaic module substrate.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: PRIMESTAR SOLAR, INC.
    Inventors: Fred Harper Seymour, Jeffrey Todd Knapp, Scott Daniel Feldman-Peabody, Robert Dwayne Gossman, Mark Jeffrey Pavol
  • Patent number: 8409355
    Abstract: Embodiments of process kits for substrate supports of semiconductor substrate process chambers are provided herein. In some embodiments, a process kit for a semiconductor process chamber may include an annular body being substantially horizontal and having an inner and an outer edge, and an upper and a lower surface; an inner lip disposed proximate the inner edge and extending vertically from the upper surface; and an outer lip disposed proximate the outer edge and on the lower surface, and having a shape conforming to a surface of the substrate support pedestal. In some embodiments, a process kit for a semiconductor process chamber my include an annular body having an inner and an outer edge, and having an upper and lower surface, the upper surface disposed at a downward angle of between about 5-65 degrees in an radially outward direction from the inner edge toward the outer edge.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: April 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Teruki Iwashita, Hiroshi Otake, Yuki Koga, Kazutoshi Maehara, Xinglong Chen, Sudhir Gondhalekar, Dmitry Lubomirsky
  • Patent number: 8409328
    Abstract: A substrate transfer device includes an atmosphere introduction unit and an atmosphere exhaust unit provided at a top and a bottom portion of a main body of the device, respectively; and a substrate transfer mechanism provided between the atmosphere introduction unit and the atmosphere exhaust unit. The substrate transfer device further includes a downward flow forming unit provided, adjacent to the atmosphere introduction unit, to allow an atmosphere to be introduced through the atmosphere introduction unit and to downwardly flow through the substrate transfer mechanism and be exhausted through the atmosphere exhaust unit; and a gas ionizing unit for ionizing the atmosphere and a particle collecting unit for collecting particles included in the atmosphere, the gas ionizing unit and the particle collecting unit being sequentially provided in the direction in which the atmosphere downwardly flows, between the downward flow forming unit and the substrate transfer mechanism.
    Type: Grant
    Filed: March 18, 2010
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Jun Yamawaku, Junji Oikawa, Hiroyuki Nakayama
  • Patent number: 8409354
    Abstract: A combinatorial processing chamber and method are provided. In the method a fluid volume flows over a surface of a substrate with differing portions of the fluid volume having different constituent components to concurrently expose segregated regions of the substrate to a mixture of the constituent components that differ from constituent components to which adjacent regions are exposed. Differently processed segregated regions are generated through the multiple flowings.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: April 2, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Chi-l Lang, Sunil Shanker
  • Patent number: 8398775
    Abstract: The present invention comprises an electrode arrangement for a coating device with a stationary first electrode (3) and a second movable electrode (18), whose principle surfaces are opposing each other during coating, wherein the second electrode (18) may be moved along a plane parallel to the opposing principle surfaces, wherein at least one end face of an electrode running transversely to the principal surface an electrical shield (12, 19, 13) is provided, which extends at least partially parallel to the end face of one electrode, wherein at least one part (14) of the shield is formed so as to be movable.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Frank Stahr, Ulf Stephan, Olaff Steinke, Klaus Schade
  • Patent number: 8398777
    Abstract: A pedestal positioning assembly system for use in a substrate processing system includes a pedestal rigidly attached to a pedestal shaft, a reference rigidly attached to the substrate processing system, a lateral adjustment assembly to adjust a lateral location of the pedestal relative to the reference, and a vertical adjustment assembly to adjust a tilt of the pedestal relative to the reference. The lateral adjustment assembly and the vertical adjustment assembly are external to a processing chamber and are coupled to the pedestal disposed within the processing chamber through the pedestal shaft. The reference can be a ring and the lateral adjustment assembly substantially centers the pedestal within the ring. A method of adjusting a pedestal includes leveling the pedestal, translating the pedestal, calibrating the pedestal height to a preheat ring level, and checking the level and location of the pedestal while rotating the pedestal.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Richard O. Collins, Kailash Kiran Patalay, Jean R. Vatus, Zhepeng Cong
  • Patent number: 8398776
    Abstract: One aspect involves: supporting a workpiece with workpiece support structure that includes spaced support parts, a support section supporting the support parts, and a workpiece holding adhesive on each support part, where the adhesives engage respective regions of a surface portion on the workpiece; and supplying a coating material toward a different surface portion of the workpiece. A different aspect involves: supporting a workpiece with workpiece support structure that includes a workpiece support member with a surface portion extending approximately parallel to a direction, and a workpiece support part having two adhesive portions that respectively engage the surface portion on the support member and a surface portion on the workpiece; and supplying a coating material in the direction, toward a different surface portion of the workpiece.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: March 19, 2013
    Assignee: Raytheon Canada Limited
    Inventors: Daniel B. Mitchell, Anthony A. Light, Mark A. Handerek, Geoffrey G. Harris, Douglas J. Brown
  • Patent number: 8398772
    Abstract: An apparatus for reactive thermal treatment of thin film photovoltaic devices includes a furnace tube including an inner wall extended from a first end to a second end. The apparatus further includes a gas supply device coupled to the second end and configured to fill one or more working gases into the furnace tube. Additionally, the apparatus includes a cover configured to seal the furnace tube at the first end and serve as a heat sink for the one or more working gases. Furthermore, the apparatus includes a fixture mechanically attached to the cover. The fixture is configured to load an array of substrates into the furnace tube as the cover seals the furnace tube. Moreover, the apparatus includes a crescent shaped baffle member disposed seamlessly at a lower portion of the inner wall for blocking a convection current of the one or more working gases cooled by the cover.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: March 19, 2013
    Assignee: Stion Corporation
    Inventors: Ashish Tandon, Robert D. Wieting, Jurg Schmizberger, Paul Alexander
  • Patent number: 8394233
    Abstract: A mechanism for adjusting an orientation of an electrode in a plasma processing chamber is disclosed. The plasma processing chamber may be utilized to process at least a substrate, which may be inserted into the plasma processing chamber in an insertion direction. The mechanism may include a support plate disposed outside a chamber wall of the plasma processing chamber and pivoted relative to the chamber wall. The support plate may have a first thread. The mechanism may also include an adjustment screw having a second thread that engages the first thread. Turning the adjustment screw may cause translation of a portion of the support plate relative to the adjustment screw. The translation of the portion of the support plate may cause rotation of the support plate relative to the chamber wall, thereby rotating the electrode with respect to an axis that is orthogonal to the insertion direction.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: March 12, 2013
    Assignee: Lam Research Corporation
    Inventor: James E. Tappan
  • Patent number: 8388853
    Abstract: Embodiments of the present invention provide apparatus and methods for supporting, positioning or rotating a semiconductor substrate during processing. One embodiment of the present invention provides a method for processing a substrate comprising positioning the substrate on a substrate receiving surface of a susceptor, and rotating the susceptor and the substrate by delivering flow of fluid from one or more rotating ports.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: March 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Blake Koelmel, Nyi O. Myo
  • Patent number: 8383990
    Abstract: A chilled arm that transports a substrate to and from a heating plate for performing a heating process on the substrate is formed with a flow passage pipe therein, and cools the entire holding area thereof opposed to the substrate held by the chilled arm to a predetermined reference temperature by supplying circulating cooling water through the flow passage pipe. Six polyimide heaters are affixed to the holding area to control the temperature of at least a portion of the holding area at a temperature different from the reference temperature. These two temperature control mechanisms intentionally provide a temperature distribution to the holding area to thereby provide an intentional temperature distribution to the substrate before and after the heat treatment by the heating plate. This reduces the nonuniformity of a temperature distribution which typically occurs in the heating plate to accomplish a uniform heat treatment throughout the heat treatment process step.
    Type: Grant
    Filed: March 11, 2008
    Date of Patent: February 26, 2013
    Assignee: Sokudo Co., Ltd.
    Inventors: Akihiko Morita, Kenichi Oyama, Koji Nishi
  • Patent number: 8375891
    Abstract: There is provided a vacuum evaporating apparatus which is suitable for performing a process in which a metal vapor atmosphere is formed in a processing chamber, the metal atoms in this metal vapor atmosphere are caused to be adhered to the surface of an object to be processed, and the metal atoms adhered to the surface of the object to be processed are diffused into grain boundary phases thereof. The apparatus comprises: a processing furnace (11); at least one processing box (4) disposed inside the processing furnace; and a heating means (2) provided inside the processing furnace so as to enclose the processing box. An evacuating means is provided which, after housing the processing box inside the processing furnace in a state in which the object to be processed (S) and the metal evaporating material (V) are disposed in the processing box, reduces the processing furnace and the processing box to a predetermined pressure and keep them at that pressure.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: February 19, 2013
    Assignee: Ulvac, Inc.
    Inventors: Hiroshi Nagata, Kyuzo Nakamura, Takeo Katou, Atsushi Nakatsuka, Ichirou Mukae, Masami Itou, Ryou Yoshiizumi, Yoshinori Shingaki
  • Patent number: 8377206
    Abstract: An apparatus to form semiconductor devices includes an inner tube and an outer tube disposed to surround the inner tube. A plate is disposed at first open end of the inner tube to reduce variation between pressures at a first portion and a second portion inside the inner tube. The sum of areas of through-holes disposed on the plate is 10 to 60 percent of the entire area of the plate. The through-holes may include a first through-hole that is disposed at a central portion of the plate, and second through-holes disposed at an edge portion of the plate. The second through-holes are annularly arranged to surround the first through-hole.
    Type: Grant
    Filed: August 24, 2009
    Date of Patent: February 19, 2013
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Youngsoo Park, Jungil Ahn, Myeongjin Kim, Sangyeob Cha, WanGoo Hwang, Youngsam An
  • Publication number: 20130036968
    Abstract: A film-forming apparatus and method comprising a film-forming chamber for supplying a reaction gas, a cylindrical shaped liner provided in the film-forming chamber, a straightening vane provided above the liner for the reaction gas to pass through, wherein the outside of the film-forming chamber connects the inside of the liner via a substrate transfer portion provided at the wall of the film-forming chamber by moving the straightening vane from the position that the straightening vane closes the upper opening of the liner. A substrate supporting portion provided in the liner, for supporting the substrate before the film-forming to move the substrate in a vertical direction, a substrate transfer unit capable of moving inside the film-forming chamber through the substrate transfer portion, wherein the substrate is transferred between the substrate supporting portion and the substrate transfer unit.
    Type: Application
    Filed: August 7, 2012
    Publication date: February 14, 2013
    Inventors: Kunihiko Suzuki, Shinichi Mitani, Yuusuke Sato
  • Patent number: 8373086
    Abstract: Provided are a plasma processing apparatus and method. The plasma processing apparatus includes a chamber, an upper electrode, a lower electrode, a substrate support, and a movement member. The upper electrode is disposed at an inner upper portion of the chamber. The lower electrode faces the upper electrode at an inner lower portion of the chamber to support a substrate such that a bevel of the substrate is exposed in a substrate level etching process. The substrate support is disposed between the upper electrode and the lower electrode to support the substrate such that a central region of a bottom surface of the substrate is exposed in a substrate backside etching process. The movement member is configured to move the substrate support to separate the substrate from the substrate support in the substrate backside etching process.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: February 12, 2013
    Assignee: Charm Engineering Co., Ltd.
    Inventors: Hyoung Won Kim, Young Soo Seo, Chi Kug Yoon, Jun Hyeok Lee, Young Ki Han, Jae Chul Choi
  • Patent number: 8365682
    Abstract: Substrate support methods and apparatus include vertically aligned lift pins that have bearing surfaces that engage friction plates and/or magnetic fields to maintain the vertical orientation of the lift pins during substrate lifting. In some embodiments, a magnetic field and/or weighting may alternatively or additionally be used to control the vertical orientation of the lift pins, limit the angle of the lift pins, and/or prevent the lift pins from unintentionally binding in a susceptor as the susceptor is raised and prevent the resulting uneven support of the substrate.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: February 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Wendell T. Blonigan, Carl Sorensen, John M. White, Robin L. Tiner
  • Publication number: 20130029479
    Abstract: The invention relates to a multilayer body arrangement, which comprises at least two multilayer bodies each having at least one surface to be processed as well as at least one device for positioning the multilayer bodies, wherein the device is configured such that the respective surfaces to be processed are opposite each other and thus form a quasi-closed processing space disposed between the surfaces, in which the processing occurs. It further relates to a system for processing multilayer bodies with such a multilayer body arrangement, as well as a method for processing multilayer bodies, wherein the multilayer bodies are disposed such that the respective surfaces to be processed are opposite each other and thus form a quasi-closed processing space disposed between the surfaces, in which the processing occurs.
    Type: Application
    Filed: February 22, 2011
    Publication date: January 31, 2013
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Stefan Jost, Joerg Palm, Martin Fuerfanger
  • Publication number: 20130029042
    Abstract: The invention relates to methods and apparatus in which a plurality of ALD reactors are placed in a pattern in relation to each other, each ALD reactor being configured to receive a batch of substrates for ALD processing, and each ALD reactor comprising a reaction chamber accessible from the top. A plurality of loading sequences is performed with a loading robot. Each loading sequence comprises picking up a substrate holder carrying a batch of substrates in a storage area or shelf, and moving said substrate holder with said batch of substrates into the reaction chamber of the ALD reactor in question.
    Type: Application
    Filed: October 5, 2012
    Publication date: January 31, 2013
    Applicant: Picosun Oy
    Inventor: Picosun Oy
  • Publication number: 20130017633
    Abstract: A vapor deposition apparatus, which is capable of performing a thin film deposition process and improving characteristics of a formed thin film, includes a chamber having an exhaust opening; a stage located in the chamber, and including a plurality of mounting surfaces on which the plurality of substrates may be mounted; and an injection unit having at least one injection opening for injecting a gas into the chamber in a direction parallel with surfaces of the plurality of substrates.
    Type: Application
    Filed: January 23, 2012
    Publication date: January 17, 2013
    Inventors: Sang-Joon Seo, Seung-Hun Kim, Jin-Kwang Kim, Seung-Yong Song
  • Publication number: 20130017318
    Abstract: A vapor deposition apparatus, which is capable of performing a thin film deposition process and improving characteristics of a formed thin film, includes: a chamber having an exhaust opening; a stage disposed in the chamber, and comprising a mounting surface on which the substrate may be mounted; an injection unit having at least one injection opening for injecting a gas into the chamber in a direction parallel with a surface of the substrate, on which the thin film is to be formed; a guide member facing the substrate to provide a set or predetermined space between the substrate and the guide member; and a driving unit conveying the stage and the guide member.
    Type: Application
    Filed: January 17, 2012
    Publication date: January 17, 2013
    Inventors: Sang-Joon Seo, Seung-Yong Song, Seung-Hun Kim, Jin-Kwang Kim
  • Patent number: 8349085
    Abstract: A substarate processing apparatus capable of reducing the capacity of a space in an internal chamber. The internal chamber is housed in a space in an external chamber. A gas supply unit supplies a process gas into the space in the internal chamber. The space in the external chamber is under a reduced pressure or filled with an inert gas. An enclosure being movable and included in the internal chamber defines the space in the internal chamber with a stage heater included in the internal chamber. When a wafer is transferred in and out by a transfer arm used to transfer the wafer, the enclosure exits out of a motion range within which the transfer arm can move.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: January 8, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Seiichi Takayama, Morihiro Takanashi
  • Patent number: 8349128
    Abstract: A method and apparatus for etching a substrate using a spatially modified plasma is provided herein. In one embodiment, the method includes providing a process chamber having a plasma stabilizer disposed above a substrate support pedestal. A substrate is placed upon the pedestal. A process gas is introduced into the process chamber and a plasma is formed from the process gas. The substrate is etched with a plasma having an ion density to radical density ratio defined by the plasma stabilizer.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Valentin N. Todorow, John P. Holland, Michael D. Willwerth
  • Patent number: 8349084
    Abstract: An apparatus for sequential deposition of an intermixed thin film layer and a sublimated source material on a photovoltaic (PV) module substrate is provided, along with associated processes. The process can include introducing a substrate into a deposition chamber, wherein a window layer (e.g., a cadmium sulfide layer) is on a surface of the substrate. A sulfur-containing gas can be supplied to the deposition chamber. In addition, a source vapor can be supplied to the deposition chamber, wherein the source material comprises cadmium telluride. The sulfur-containing gas and the source vapor can be present within the deposition chamber to form an intermixed layer on the window layer. In one particular embodiment, for example, the intermixed layer generally can have an increasing tellurium concentration and decreasing sulfur concentration extending away from the window layer.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: January 8, 2013
    Assignee: General Electric Company
    Inventors: James Neil Johnson, Bastiaan Arie Korevaar, Yu Zhao
  • Publication number: 20130000556
    Abstract: An apparatus for the deposition of semiconductor material on a glass sheet, including at least one vacuum chamber which includes a means for deposition of a semiconductor material on one or more glass sheets and a means for conveying the glass sheets inside the vacuum chamber; the conveyance means forms a continuous plane with the surface of the panels that is subjected to the deposition of conducting material.
    Type: Application
    Filed: February 24, 2011
    Publication date: January 3, 2013
    Inventor: Marco Ronchi
  • Patent number: 8343305
    Abstract: Apparatus and methods for diagnosing status of a consumable part of a plasma reaction chamber, the consumable part including at least one conductive element embedded therein. The method includes the steps of: coupling the conductive element to a power supply so that a bias potential relative to the ground is applied to the conductive element; exposing the consumable part to plasma erosion until the conductive element draws a current from the plasma upon exposure of the conductive element to the plasma; measuring the current; and evaluating a degree of erosion of the consumable part due to the plasma based on the measured current.
    Type: Grant
    Filed: September 4, 2007
    Date of Patent: January 1, 2013
    Assignee: Lam Research Corporation
    Inventor: Roger Patrick
  • Patent number: 8343279
    Abstract: Embodiments of the invention provide apparatuses and methods for depositing materials on substrates during vapor deposition processes, such as atomic layer deposition (ALD). In one embodiment, a chamber contains a substrate support with a receiving surface and a chamber lid containing an expanding channel formed within a thermally insulating material. The chamber further includes at least one conduit coupled to a gas inlet within the expanding channel and positioned to provide a gas flow through the expanding channel in a circular direction, such as a vortex, a helix, a spiral or derivatives thereof. The expanding channel may be formed directly within the chamber lid or formed within a funnel liner attached thereon. The chamber may contain a retaining ring, an upper process liner, a lower process liner or a slip valve liner. Liners usually have a polished surface finish and contain a thermally insulating material such as fused quartz or ceramic.
    Type: Grant
    Filed: May 12, 2005
    Date of Patent: January 1, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Nyi Oo Myo, Kenric Choi, Shreyas Kher, Pravin Narwankar, Steve Poppe, Craig R. Metzner, Paul Deaten
  • Patent number: 8343876
    Abstract: A plasma chamber with a plasma confinement zone with an electrode is provided. A gas distribution system for providing a first gas and a second gas is connected to the plasma chamber, wherein the gas distribution system can substantially replace one gas in the plasma zone with the other gas within a period of less than 1 s. A first frequency tuned RF power source for providing power to the electrode in a first frequency range is electrically connected to the at least one electrode wherein the first frequency tuned RF power source is able to minimize a reflected RF power. A second frequency tuned RF power source for providing power to the plasma chamber in a second frequency range outside of the first frequency range wherein the second frequency tuned RF power source is able to minimize a reflected RF power.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: January 1, 2013
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Zhisong Huang, Jose Tong Sam, Eric H. Lenz, Rajinder Dhindsa
  • Patent number: 8337622
    Abstract: A semiconductor device manufacturing apparatus includes a chamber in which a wafer is loaded; a first gas supply unit for supplying a process gas into the chamber; a gas exhaust unit for exhausting a gas from the chamber; a wafer support member on which the wafer is placed; a ring on which the wafer support member is placed; a rotation drive control unit connected to the ring to rotate the wafer; a heater disposed in the ring and comprising a heater element for heating the wafer to a predetermined temperature and including an SiC layer on at least a surface, and a heater electrode portion molded integrally with a heater element and including an SiC layer on at least a surface; and a second gas supply unit for supplying an SiC source gas into the ring.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: December 25, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Kunihiko Suzuki, Shinichi Mitani
  • Patent number: 8337621
    Abstract: A processing apparatus for processing a substrate G includes a processing chamber for processing the substrate; a depressurizing mechanism reducing an internal pressure of the processing chamber; and a transfer mechanism disposed in the processing chamber to transfer the substrate, wherein the transfer mechanism includes: a guide member; a stage for holding the substrate; a driving member for moving the stage; and a movable member supporting the stage and moving along the guide member. The guide member and the movable member are maintained so as not to contact each other by a repulsive force of magnets.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: December 25, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiro Tobe, Satoru Kawakami, Shinji Matsubayashi
  • Publication number: 20120315395
    Abstract: The present invention aims to provide thin-film manufacturing equipment, a method for manufacturing a thin film, and a method for maintaining thin-film manufacturing equipment, which are capable of depositing with high productivity even in the occurrence of unexpected failure. Thin-film manufacturing equipment provided herein includes a group of deposition chambers that is a collection of deposition chambers each provided with a deposition compartment, in which a thin film is deposited on a substrate, a movable chamber designed to convey a substrate, and more than two substrate temporary holding devices each for temporarily holding a substrate, wherein the movable device is designed to deliver and receive the substrate to and from each of the deposition chambers and designed to perform at least one action selected from the group consisting of receiving and discharging of the substrate from and to each of the more than two substrate temporary holding devices.
    Type: Application
    Filed: February 9, 2011
    Publication date: December 13, 2012
    Applicant: KANEKA CORPORATION
    Inventors: Eiji Kuribe, Takeyoshi Takahashi
  • Patent number: 8328946
    Abstract: A conveyor assembly for conveying substrates through a vapor deposition system includes a first carriage rail and a second carriage rail disposed at an opposite side of the conveyor assembly. The first and second carriage rails include a plurality of roller positions spaced longitudinally therealong. The carriage rails further include a pair of wheels at each of the roller positions, with the wheels spaced apart so as to define a cradle at the respective roller position. At least one of the wheels at each roller position on is drive wheel. A plurality of rollers extend between the first and second carriage rails. The rollers have ends that drop into the cradles at the roller positions such that the rollers are removable from the carriage rails by being lifted out of the cradles at the roller positions.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: December 11, 2012
    Assignee: PrimeStar Solar, Inc.
    Inventors: Edwin Jackson Little, Christopher Rathweg