Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 7201174
    Abstract: In a chamber (11), a SiOF film is formed on a wafer W using a plasma CVD method. A film remaining inside the chamber (11) is cleaned up using a gas containing NF3. A manometer (28) is prepared for the chamber (11). An end point of cleaning of the chamber (11) is detected by monitoring the pressure inside the chamber (11).
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: April 10, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Patent number: 7195021
    Abstract: A method for cleaning optics in a chamber. The method can include introducing a first etchant into a chamber that encloses an optical component and a source of electromagnetic radiation that is suitable for lithography, ionizing the first etchant, and removing debris from a surface of the optical component.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: March 27, 2007
    Assignee: Intel Corporation
    Inventors: Michael Chan, Robert Bristol, Mark Doczy
  • Patent number: 7192886
    Abstract: A method for the caustic etching of silicon which is of importance for the semiconductor industry and silicon wafer manufacture in particular, that includes using one or more iodate or chlorite salts as additives in the etching process to achieve improved surface conditions, such as smaller facets and lower roughness, on the resulting silicon substrate.
    Type: Grant
    Filed: October 24, 2003
    Date of Patent: March 20, 2007
    Assignee: Intersurface Dynamics, Inc.
    Inventors: Wiltold Paw, Jonathan Wolk
  • Patent number: 7192874
    Abstract: A method of reducing foreign material concentrations in an etch chamber having inner chamber walls is described. The method includes the step of etching a work piece in the etch chamber such that reaction products from the work piece having one or more elements form a first layer of reaction products that partially adhere to the inner chamber walls. A species is introduced into the etch chamber that increases the adhesion of the first layer of reaction products to the inner chamber walls.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: March 20, 2007
    Assignee: International Business Machines Corporation
    Inventors: Edward Crandal Cooney, III, Anthony Kendall Stamper
  • Patent number: 7183220
    Abstract: A plasma etching method includes forming a polymer comprising carbon and a halogen over at least some internal surfaces of a plasma etch chamber. After forming the polymer, plasma etching is conducted using a gas which is effective to etch polymer from chamber internal surfaces. In one implementation, the gas has a hydrogen component effective to form a gaseous hydrogen halide from halogen liberated from the polymer. In one implementation, the gas comprises a carbon component effective to getter the halogen from the etched polymer. In another implementation, a plasma etching method includes positioning a semiconductor wafer on a wafer receiver within a plasma etch chamber. First plasma etching of material on the semiconductor wafer occurs with a gas comprising carbon and a halogen. A polymer comprising carbon and the halogen forms over at least some internal surfaces of the plasma etch chamber during the first plasma etching.
    Type: Grant
    Filed: October 2, 2000
    Date of Patent: February 27, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, David S. Becker, Kevin G. Donohoe
  • Patent number: 7181306
    Abstract: A method of operating a plasma etcher wherein gas is introduced into the etcher at a substantially higher rate than a previous standard rate for a desired etch selectivity, and the throttle valve's open value is set to a substantially greater open value than a previous standard open value for the desired etch selectivity. The method may also include introducing the gas at a lower pressure than the pressure of the previous standard pressure for a desired etch selectivity.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: February 20, 2007
    Assignee: Intersil Americas, Inc.
    Inventor: David A. DeCrosta
  • Patent number: 7175875
    Abstract: The apparatus for processing an in-process substrate by generating a plasma have a processing chamber with an observation window, in which the in-process substrate is disposed; plasma generating means for generating a plasma in the inside of the processing chamber; irradiation means for projecting a light beam into the inside of the processing chamber through the observation window; detection means for detecting the light projected and reflected from the inside wall of the chamber by the irradiation means; and data processing means for obtaining information on the state of contamination of the inside wall of the processing chamber by processing signals obtained through detection of the reflected light by the detection means, and thereby permitting simultaneously monitoring of both the state of contamination of an inside wall of the processing chamber and foreign materials suspended in the processing chamber, with a single observation window and an optical system composed of one unit.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: February 13, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Hiroyuki Nakano, Toshihiko Nakata
  • Patent number: 7172977
    Abstract: Disclosed is a method for non-destructive removal of cured epoxy from a wafer backside. A wafer back-coated with epoxy is soaked in an acetone bath for a period of time, allowing degradation of the epoxy coating adhesion strength. The epoxy coating is then peeled or scraped away, leaving the wafer backside ready for a rework or for a reapplication of a new epoxy coating.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: February 6, 2007
    Assignee: National Semiconductor Corporation
    Inventors: David Zakharian, Kevin Weaver
  • Patent number: 7169440
    Abstract: A method is provided for plasma ashing to remove photoresist remnants and etch residues that are formed during preceding plasma etching of dielectric layers. The ashing method uses a two-step plasma process involving an oxygen-containing gas, where low or zero bias is applied to the substrate in the first cleaning step to remove significant amount of photoresist remnants and etch residues from the substrate, in addition to etching and removing detrimental fluoro-carbon residues from the chamber surfaces. An increased bias is applied to the substrate in the second cleaning step to remove the remains of the photoresist and etch residues from the substrate. The two-step process reduces the memory effect commonly observed in conventional one-step ashing processes. A method of endpoint detection can be used to monitor the ashing process.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: January 30, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Vaidyanathan Balasubramaniam, Masaaki Hagiwara, Eiichi Nishimura, Kouichiro Inazawa
  • Patent number: 7166534
    Abstract: Semiconductor manufacturing processes that reduce production costs as well as increase throughput by substituting the PR strip and ACT wet cleaning procedure after the via contact etching of a semiconductor with dry cleaning to be performed while removing a photoresist in a conventional PR strip apparatus. In addition, the methods can shorten waiting time and maintain consistency in the process by performing the PR strip and cleaning at the same time in the same chamber. The resultant devices have lower via contact resistance and its deviation, as compared to the conventional PR strip and ACT wet cleaning.
    Type: Grant
    Filed: September 24, 2002
    Date of Patent: January 23, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Woo Jung
  • Patent number: 7159597
    Abstract: A process for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after depositing a layer of material over a substrate disposed in the chamber. In one embodiment the process comprises transferring the substrate out of the chamber; flowing a first gas into the substrate processing chamber and forming a plasma within the chamber from the first gas in order to heat the chamber; and thereafter, extinguishing the plasma, flowing an etchant gas into a remote plasma source, forming reactive species from the etchant gas and transporting the reactive species into the substrate processing chamber to etch the unwanted deposition build-up.
    Type: Grant
    Filed: May 21, 2002
    Date of Patent: January 9, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Zhengquan Tan, Zhuang Li, Kent Rossman
  • Patent number: 7160812
    Abstract: A method for preventing the deterioration of an electrode caused by the build up of deposits in openings of the electrode. Gas is supplied to each of the openings in order to prevent deposits from adhering to the openings before or after the etching treatment.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: January 9, 2007
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Hideshi Hamada
  • Patent number: 7150796
    Abstract: In a method of affecting cleaning or chamber process control to remove residues of fluorinated discharges from internal PECVD chamber hardware during manufacture of a semiconductor or integrated circuit, the improvement of removing the fluorinated discharges without opening the chamber and without causing chamber downtime, comprising: a) maximizing H-atom concentration in a gas mix of a plasma containing H2 through the use of high rf power and low pressure to obtain an in-situ H2 plasma; and b) subjecting a reactor chamber containing build-up residues from previous chamber treatment with a fluorinated plasma, with the in-situ H2 plasma from step a) without opening the chamber and without shutting down the chamber to remove the build-up residues of the fluorinated plasma.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: December 19, 2006
    Assignee: Infineon Technologies Richmond, LP
    Inventors: Bradley C. Smith, David James
  • Patent number: 7147722
    Abstract: Activated gaseous species generated adjacent a carbon contaminated surface affords in-situ cleaning. A device for removing carbon contamination from a surface of the substrate includes (a) a housing defining a vacuum chamber in which the substrate is located; (b) a source of gaseous species; and (c) a source of electrons that are emitted to activate the gaseous species into activated gaseous species. The source of electrons preferably includes (i) a filament made of a material that generates thermionic electron emissions; (ii) a source of energy that is connected to the filament; and (iii) an electrode to which the emitted electrons are attracted. The device is particularly suited for photolithography systems with optic surfaces, e.g., mirrors, that are otherwise inaccessible unless the system is dismantled. A method of removing carbon contaminants from a substrate surface that is housed within a vacuum chamber is also disclosed.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: December 12, 2006
    Assignee: EUV LLC
    Inventors: Leonard E. Klebanoff, Philip Grunow, Samuel Graham, Jr.
  • Patent number: 7140374
    Abstract: A method for cleaning a processing chamber that includes heating an inner surface of the processing chamber to a first temperature. The first temperature can be sufficient to cause a first species to become volatile. The first species can be one of several species deposited on the inner surface. A cleaning chemistry is injected into the processing chamber. The cleaning chemistry can be reactive with a second one of the species to convert the second species to the first species. The volatilized first species can also be output from the processing chamber. A system for cleaning the process chamber is also described.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: November 28, 2006
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare, Arthur M. Howald, Yunsang Kim
  • Patent number: 7125583
    Abstract: A method for improving thickness uniformity and throughput of a carbon doped oxide deposition process is described. That method comprises removing pre-deposition steps in a deposition phase. Moreover, helium plasma is added to a pre-clean phase to eliminate the production of dummy wafers.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: October 24, 2006
    Assignee: Intel Corporation
    Inventors: Ebrahim Andideh, Kevin L. Peterson, Jeff Bielefeld
  • Patent number: 7115522
    Abstract: A method for manufacturing a semiconductor device including a substrate to be processed having a conductive layer essentially consisting of platinum includes etching the conductive layer, and generating plasma and cleaning the substrate, to which an etching product adhere, by means of ions in the plasma. The cleaning includes heating the substrate to a first temperature, introducing gas, which contains chlorine and nitrogen and in which a ratio of chlorine atoms to nitrogen atoms is 9:1 to 5:5, and applying high-frequency power to an electrode, on which the substrate is placed.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: October 3, 2006
    Assignees: Kabushiki Kaisha Toshiba, Infineon Technologies AG
    Inventors: Kazuhiro Tomioka, Haoren Zhuang
  • Patent number: 7112546
    Abstract: The present invention provides, in one embodiment, a method of manufacturing semiconductor devices. The method comprises transferring one or more substrate into a deposition chamber and depositing material layers on the substrate. The chamber has an interior surface. The method further includes, between the transfers, cleaning the deposition chamber using an in situ ramped cleaning process when material layer deposits in the deposition chamber reaches a predefined thickness. The in situ ramped cleaning process comprises forming a reactive plasma cleaning zone by dissociating a gaseous fluorocompound introduced into a deposition chamber in a presence of a plasma. The cleaning process further includes ramping a flow rate of the gaseous fluorocompound in a presence of the plasma to move the reactive plasma cleaning zone throughout the deposition chamber, thereby preventing a build-up of localized metal compound deposits on the interior surface.
    Type: Grant
    Filed: September 2, 2003
    Date of Patent: September 26, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Ignacio Blanco, Jin Zhao, Nathan Kruse
  • Patent number: 7105102
    Abstract: A vacuum plasma processor includes a roof structure including a dielectric window carrying (1) a semiconductor plate having a high electric conductivity so it functions as an electrode, (2) a hollow coil and (3) at least one electric shield. The shield, coil and semiconductor plate are positioned to prevent substantial coil generated electric field components from being incident on the semiconductor plate. During a first interval the coil produces an RF electromagnetic field that results in a plasma that strips photoresist from a semiconductor wafer. During a second interval the semiconductor plate and another electrode produce an RF electromagnetic field that results in a plasma that etches electric layers, underlayers and photoresist layers from the wafer.
    Type: Grant
    Filed: February 3, 2004
    Date of Patent: September 12, 2006
    Assignee: LAM Research Corporation
    Inventors: Tuqiang Ni, Wenli Collison, David Hemker, Lumin Li
  • Patent number: 7101260
    Abstract: A method for manufacturing an article where the article has polymeric residue that is to be removed during the manufacture of the article. The article is introduced into a controlled environment of a processing tool that has at least first and second processing chambers. Free radicals are generated from one or more reactant gases and introduced into at least the first processing chamber where they react with the polymeric residue. A cryogenic cleaning medium is supplied into the second processing chamber where it removes the polymeric residue present after the free radicals react with the polymeric residue. The reactant gases are selected to facilitate removal of the polymeric residue with the cryogenic cleaning medium The first and second processing chambers may be dedicated to plasma processing or cryogenic processing or each may provide both plasma processing and cryogenic processing.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: September 5, 2006
    Assignee: Nanoclean Technologies, Inc.
    Inventors: Adel George Tannous, Khalid Makhamreh
  • Patent number: 7097716
    Abstract: A method of cleaning a plasma etching reactor is provided. The method of cleaning a plasma etching reactor includes generating one or more plasmas from oxygen gas and a hydrogen-containing gas, and exposing interior surfaces of the reactor to the plasma(s) from the oxygen-gas and the hydrogen-containing gas. The cleaning method is used to remove deposited material, such as deposits containing fluorine, carbon, oxygen, and hydrogen from interior surfaces of the reactor. The hydrogen-containing gas may contribute to the cleaning method by providing a source of hydrogen that removes fluorine from the surfaces of the reactor.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: August 29, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Michael Barnes, Huong Thanh Nguyen
  • Patent number: 7084070
    Abstract: A method for processing substrate to form a semiconductor device is disclosed. The substrate includes an etch stop layer disposed above a metal layer. The method includes etching through the etch stop layer down to the copper metal layer, using a plasma etch process that utilizes a chlorine-containing etchant source gas, thereby forming etch stop layer openings in the etch stop layer. The etch stop layer includes at least one of a SiN and SiC material. Thereafter, the method includes performing a wet treatment on the substrate using a solution that contains acetic acid (CH3COOH) or acetic acid/ammonium hydroxide (NH4OH) to remove at least some of the copper oxides. Alternatively, the copper oxides may be removed using a H2 plasma. BTA passivation may be optionally performed on the substrate.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: August 1, 2006
    Assignee: Lam Research Corporation
    Inventors: Sangheon Lee, Sean S. Kang, S M Reza Sadjadi, Subhash Deshmukh, Ji Soo Kim
  • Patent number: 7078161
    Abstract: A low temperature plasma ashing process for use with substrates comprising a ferroelectric material. The process generally includes plasma ashing the photoresist and residues at a temperature of about room temperature to about 140° C., wherein the plasma is generated from a gas mixture consisting essentially of hydrogen and an inert gas, and wherein the ferroelectric material is exposed to the plasma.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: July 18, 2006
    Assignees: Axcelis Technologies, Inc., Intel Corporation
    Inventors: Carlo Waldfried, Qingyuan Han, Orlando Escorcia, Ebrahim Andideh
  • Patent number: 7073518
    Abstract: A cleaning solution for a mask used in a vacuum vapor deposition step in the production of a low molecular weight organic EL device is provided, the cleaning solution including one type or two or more types of aprotic polar solvent. There is also provided a cleaning method for a mask used in a vacuum vapor deposition step in the production of a low molecular weight organic EL device, wherein cleaning is carried out by immersion or jet flow using the cleaning solution.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: July 11, 2006
    Assignees: Kanto Kagaku Kabushiki Kaisha, Sanyo Electric Co., Ltd.
    Inventors: Norio Ishikawa, Yoshitaka Kinomura, Hideki Hijiya
  • Patent number: 7067432
    Abstract: A new methodology of monitoring process drift and chamber seasoning is presented based on the discovery of the strong correlation between chamber surface condition and free radical density in a plasma. Lower free radical density indicates either there is a significant process drift in the case of production wafer etching or that the chamber needs more seasoning before resuming production wafer etching. Free radical density in the plasma is monitored through measuring the emission intensities of free radicals in the plasma by an optical spectrometer. A timely detection of the extent of process drift and chamber seasoning can help to minimize the chamber downtime and improve its throughput significantly. Such method can also be implemented in existing production wafer etching or chamber seasoning practices in an in-situ, real-time, and non-intrusive manner.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: June 27, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Songlin Xu, Thorsten Lill
  • Patent number: 7064073
    Abstract: According to one embodiment, a method for reducing contaminants in a reactor chamber is disclosed where the method comprises a step of etching the reactor chamber, which can comprise, for example, a dry etch process performed with hydrogen and HCL. Next, the reactor chamber is baked, which can comprise, for example, baking with hydrogen. Thereafter, an undoped semiconductor layer, such as an undoped silicon layer, is deposited in the reactor chamber to form a sacrificial semiconductor layer, for example, a sacrificial silicon layer. Then, the sacrificial semiconductor layer, for example, the sacrificial silicon layer, is removed from the reactor chamber. The removal step can comprise, for example, a dry etch process performed with HCL. In another embodiment, a wafer is fabricated in a reactor chamber that is substantially free of contaminants due to the implementation of the above method.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: June 20, 2006
    Assignee: Newport Fab, LLC
    Inventor: Gregory D. U'ren
  • Patent number: 7059335
    Abstract: In a process for treating moulds or mould halves (3) for the production of ophthalmic lenses, in particular contact lenses, the moulds or mould halves (3) are exposed to a plasma at least in the area of their shaping surfaces (310).
    Type: Grant
    Filed: January 29, 2003
    Date of Patent: June 13, 2006
    Assignee: Novartis AG
    Inventor: Michael Rothaug
  • Patent number: 7055532
    Abstract: The process of the present invention comprises reactive ion etching of AlxFyOz oxide deposits on aluminum-containing bond pads using feed gases, such as, SF6/CF4/Ar or Cl2/BCL3/Ar. whose active plasma etches the AlxFyOz oxide deposits by physical etching and chemical etching for more complete removal of the AlxFyOz oxide deposits.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: June 6, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: How-Cheng Tsai, Hung-Hsin Liu
  • Patent number: 7053002
    Abstract: The present invention provides a method and apparatus for precleaning a patterned substrate with a plasma comprising a mixture of argon, helium, and hydrogen. Addition of helium to the gas mixture of argon and hydrogen surprisingly increases the etch rate in comparison to argon/hydrogen mixtures. Etch rates are improved for argon concentrations below about 75% by volume. RF power is capacitively and inductively coupled to the plasma to enhance control of the etch properties. Argon, helium, and hydrogen can be provided as separate gases or as mixtures.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: May 30, 2006
    Assignee: Applied Materials, INC
    Inventors: Barney M. Cohen, Kenny King-Tai Ngan, Xiangbing Li
  • Patent number: 7051741
    Abstract: A method of resist stripping for use during fabrication of semiconductor devices. A semiconductor substrate with a resist material formed thereon, such as a silicon wafer, is positioned in a sealed chamber in communication with a plasma-generating source. A gaseous material which includes a reducing agent is passed through the plasma-generating source to produce a plasma stream. The plasma stream is directed at the semiconductor substrate for a predetermined period of time to remove the resist material from the semiconductor substrate. A reducing environment is produced in the sealed chamber by the plasma stream, which can passivate and strip the resist material simultaneously.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Harlan Frankamp
  • Patent number: 7045020
    Abstract: Process deposits formed on a component of a process chamber are cleaned. In the cleaning method, gas holes in the component are mechanically pinned to clean the process deposits therein. A ceramic portion of the component is then exposed to an acidic solution, such as a solution of hydrofluoric acid and nitric acid. Mechanical pinning of the gas holes may be repeated after the acid cleaning step. The component is then plasma stabilized in a plasma zone by introducing a non-reactive gas into the plasma zone and forming a plasma of the non-reactive gas in the plasma zone. In one version, the component comprises an electrostatic chuck comprising a ceramic covering an electrode and having the gas holes therein.
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: May 16, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ashish Bhatnagar, Charles S. Kunze
  • Patent number: 7045014
    Abstract: A substrate support assembly supports a substrate in a process chamber. The substrate support assembly has a support block having an electrode and an arm to hold the support block in the process chamber, the arm having a channel therethrough. The arm has a first clamp to attach to the support block and a second clamp to attach to the process chamber. A plurality of electrical conductors pass through the channel of the arm, and a ceramic insulator is between the conductors.
    Type: Grant
    Filed: April 24, 2003
    Date of Patent: May 16, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Richard Mahon, Abhijit Desai
  • Patent number: 7041599
    Abstract: High through-put Cu CMP is achieved with reduced erosion and dishing by a multi-step polishing technique. Deposited Cu is polished with fixed abrasive polishing pads initially at a high removal rate and subsequently at a reduced removal rate and high Cu:barrier layer (Ta) selectivity. Embodiments of the present invention include reducing dishing by: controlling platen rotating speeds; increasing the concentration of active chemicals; and cleaning the polishing pads between wafers. Embodiments also include removing particulate material during CMP by increasing the flow rate of the chemical agent or controlling the static etching rate between about 100 ? and about 150 ? per minute, and recycling the chemical agent. Embodiments further include flowing an inhibitor across the wafer surface after each CMP step to reduce the static etching rate.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: May 9, 2006
    Assignee: Applied Materials Inc.
    Inventors: Shijian Li, Fred C. Redeker, John White, Ramin Emami
  • Patent number: 7037376
    Abstract: A processing chamber may be effectively cleaned by a remote plasma flowed through the chamber in a direction opposite to the direction of gas flowed during wafer processing. Specifically, the remotely generated plasma may be introduced directly into the chamber through a processing gas exhaust or other port, and then be exhausted from the chamber by traveling through the gas distribution shower head to the foreline. In one embodiment of the present invention, this reverse flow of remote cleaning plasma is maintained for the duration of the chamber cleaning step. In an alternative embodiment, the direction of flow of the remote cleaning plasma through the chamber is alternated between this reverse flow and a conventional forward flow.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: May 2, 2006
    Assignee: Applied Materials Inc.
    Inventors: Keith Harvey, Karthik Janakiraman, Kirby Floyd
  • Patent number: 7037853
    Abstract: An object of the present invention is to provide a wafer cleaning apparatus for cleaning wafers that have received various processing such as copper plating and chemical mechanical polishing. An apparatus for cleaning front and back surfaces of a wafer with solution while rotating the wafer that has been subjected to a fabrication process is disclosed. The apparatus comprises cleaning nozzles for spraying a cleaning solution, respectively, onto a front surface of the wafer that has been processed and onto a back surface thereof and also comprises an etching nozzle for spraying an etching solution onto a vicinity of the outer periphery of the wafer.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: May 2, 2006
    Assignee: Ebara Corporation
    Inventors: Akihisa Hongo, Shinya Morisawa
  • Patent number: 7030026
    Abstract: The semiconductor device fabrication method comprises the step of forming electrodes 20 in a first element region 14n and in a second element region 14p; the step of forming a first resist film 22 which is opened in the first element region 14n; the step of forming a first dopant diffused region 28 with the first resist film 22 and the gate electrode 20 as a mask; the first ashing processing step of ashing the first resist film 22; the step of forming a sidewall insulation film 42 over the side wall of the gate electrode 20; the step of forming a second resist film 44 which is opened in the first element region 14n; the forming a second dopant diffused region 48 with the second resist film 44, the gate electrode 20 and the sidewall insulation film 42 as a mask; and the second ashing processing step for ashing the second resist film 44. The ashing processing period of time in the first ashing processing step is shorter than the ashing processing period of time in the second ashing processing step.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: April 18, 2006
    Assignee: Fujitsu Limited
    Inventors: Yuka Hayami, Junji Oh, Takashi Saiki, Masataka Kase
  • Patent number: 7028696
    Abstract: A method involving plasma cleaning of deposit residues in process chamber using duo-step wafer-less auto clean method is detailed. Specifically, the method involves cleaning the processing chamber by flowing a first gaseous composition with at least about 75% of fluorine-containing compound of the formula XyFz, into a processing chamber and then forming a first etchant plasma which removes silicon and silicon based byproducts from the interior surfaces of the processing chamber. The method then involves flowing a second gaseous composition into the processing chamber with a composition of at least about 50% O2 and forming a plasma from the second gaseous composition to provide a second etchant plasma which removes carbon and carbon based byproducts from the interior surfaces of the processing chamber. A system configured to execute the two step cleaning process is also provided.
    Type: Grant
    Filed: May 3, 2002
    Date of Patent: April 18, 2006
    Assignee: Lam Research Corporation
    Inventors: Brett C. Richardson, Vincent Wong
  • Patent number: 7017594
    Abstract: The present invention relates to methods and apparatuses for the use of atmospheric pressure non-thermal plasma to clean and sterilize the surfaces of liquid handling devices.
    Type: Grant
    Filed: January 20, 2005
    Date of Patent: March 28, 2006
    Assignee: Cerionx, Inc.
    Inventor: Peter Frank Kurunczi
  • Patent number: 7018553
    Abstract: A method of adjusting plasma processing of a substrate in a plasma reactor having an electrode assembly. The method includes the steps of positioning the substrate in the plasma reactor, creating a plasma in the plasma reactor, monitoring optical emissions emanating from a plurality of different regions of the plasma in a direction substantially parallel to the surface of the substrate during plasma processing of the substrate, and determining an integrated power spectrum for each of the different plasma regions and comparing each of the integrated power spectra to a predetermined value. One aspect of the method includes utilizing an electrode assembly having a plurality of electrode segments and adjusting RF power delivered to the one or more electrode segments based on differences in the integrated power spectra from the predetermined value.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: March 28, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Lianjun Liu, Wayne L. Johnson
  • Patent number: 7014887
    Abstract: The present invention generally provides a method for improving fill and electrical performance of metals deposited on patterned dielectric layers. Apertures such as vias and trenches in the patterned dielectric layer are etched to enhance filling and then cleaned in the same chamber to reduce metal oxides within the aperture.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: March 21, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Barney M. Cohen, Suraj Rengarajan, Xiangbing Li, Kenny King-Tai Ngan, Peijun Ding
  • Patent number: 7014788
    Abstract: A method for treating material surface utilizing atomic hydrogen. The method includes utilizing atomic hydrogen by mixing halogen and/or halide to a gas which is used for generating, atomic hydrogen in the plasma. The present method also includes utilizing a characteristics of plasma downstream (11) in which the objective surface is prevented from physical damage caused by high energy particle and undesirable reactive species are controlled so as to avoid their influence. In an alternative embodiment, the present invention includes a method for a material surface treatment utilizing atomic hydrogen without the influence of atomic oxygen by using a gas, as a plasma source, containing the molecule and/or compound of chlorine, bromine and/or iodine and not containing molecules with oxygen atom.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: March 21, 2006
    Assignee: Jim Mitzel
    Inventors: Shuzo Fujimura, Toshiyuki Takamatsu
  • Patent number: 6992011
    Abstract: A cleaning method is provided using a cleaning gas mixture of hydrogen and inert gas, for example a mixture in which the hydrogen content is between 20 percent and 80 percent by volume, provided to the chamber of a semiconductor wafer processing apparatus and an ICP power source only to generate a high density plasma in the gas mixture without biasing the surface to be cleaned. In examples of the invention, Si and SiO2 contaminants or CFx contaminants are cleaned from a silicon contact prior to subsequent metal deposition. In another example of the invention, silicon residue is cleaned from internal chamber surfaces before oxide etching to recover the baseline oxide etch rate.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: January 31, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Takenao Nemoto, Emmanuel Guidotti, Gert Leusink
  • Patent number: 6981508
    Abstract: Provided herein is a method for cleaning a process chamber for semiconductor and/or flat panel display manufacturing. This method comprises the steps of converting a non-cleaning feed gas to a cleaning gas in a remote location and then delivering the cleaning gas to the process chamber for cleaning. Such method may further comprise the step of activating the cleaning gas outside the chamber before the delivery of the gas to the chamber. Also provided is a method of eliminating non-cleaning feed gas from the cleaning gas by cryo condensation.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: January 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Quanyuan Shang, Sanjay Yadav, William R. Harshbarger, Kam S. Law
  • Patent number: 6982006
    Abstract: A general method and apparatus for treating materials at high speed comprises the steps of dissolving a relatively high concentration ozone gas in a solvent at a relatively low predetermined temperature T1 to form an ozone-solvent solution with a relatively high dissolved ozone concentration, and heating either the ozone-water solution or the material to be treated or both, the ozone-solvent solution and the material to be oxidized with a point-of-use heater to quickly increase the temperature to a predetermined higher temperature T2>T1, and applying the ozone-solvent solution to said material(s) whereby the heated ozone-water solution will have a much higher dissolved ozone concentration at said higher temperature, than could be achieved if the ozone gas was initially dissolved in water at said higher temperature.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: January 3, 2006
    Inventors: David G. Boyers, Jay Theodore Cremer, Jr.
  • Patent number: 6977230
    Abstract: The invention encompasses a method of removing at least some of a material from a semiconductor substrate. A feed gas is fed through an ozone generator to generate ozone. The feed gas comprises at least 99.999% O2 (by volume). The ozone, or a fragment of the ozone, is contacted with a material on a semiconductor substrate to remove at least some of the material from the semiconductor substrate. The invention also encompasses another method of removing at least some of a material from a semiconductor substrate. A mixture of ozone and organic solvent vapors is formed in a reaction chamber. At least some of the ozone and solvent vapors are contacted with a material on a semiconductor substrate to remove at least some of the material from the semiconductor substrate.
    Type: Grant
    Filed: April 12, 2004
    Date of Patent: December 20, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Garo J. Derderian
  • Patent number: 6968850
    Abstract: A method and system for cleaning collector optics in a light source chamber. In producing, for example, extreme ultraviolet light for lithography, debris such as tungsten can accumulate on optical components near a light source in the light source chamber. An etchant, such as a fluorine-containing gas, can be introduced into the light source chamber. The etchant is ionized via electrodes to generate free fluorine. The electrodes can be, for example, existing light source chamber components including the optical components. The fluorine can then react with the debris, forming gaseous compounds, which are pumped out of the light source chamber.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: November 29, 2005
    Assignee: Intel Corporation
    Inventors: Michael Chan, Robert Bristol, Mark Doczy
  • Patent number: 6964928
    Abstract: A method for removal of residue after plasma etching a film stack comprising a patterned photoresist material layer, a hard mask layer, a conductive layer, and a magnetic layer, wherein the patterned photoresist material layer and the hard mask layer form a dual mask. The method cleans a substrate containing the film stack after the dual mask of the film stack has been etched to remove residue produced during the etching process. The cleaning step is performed in a solution comprising hydrogen peroxide and ammonium hydroxide that removes the residue.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: November 15, 2005
    Inventors: Chentsau Ying, Xiaoyi Chen, Padmapani C. Nallan, Ajay Kumar
  • Patent number: 6955177
    Abstract: The present invention pertains to methods for cleaning semiconductor wafers, more specifically, for removing polymeric and other residues from a wafer using dry plasmas generated with microwave (MW), electromagnetic field (inductively-coupled plasma (ICP)), and radio frequency (RF) energy. First, a wafer is treated by applying a microwave-generated plasma or an inductively-coupled plasma. Second, a radio frequency generated plasma is applied. Each of the microwave-generated plasma and the inductively-coupled plasma is produced from a gas mixture, which includes an oxygen source gas, a fluorine source gas, and a hydrogen source gas. Using such plasmas provides more controllable etch rates than conventional plasmas via control of fluorine concentration in the plasma. Application of a radio frequency generated (preferably oxygen-based) plasma is used for additional photoresist and polymer removal.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: October 18, 2005
    Assignee: Novellus Systems, Inc.
    Inventors: Eddie Chiu, Cindy Wailam Chen, Yuh-Jia Su, Wesley Phillip Graff
  • Patent number: 6953041
    Abstract: Compositions useful for cleaning metal from a substrate or coating metal onto a substrate are described: Such compositions comprise (a) a densified carbon dioxide continuous phase; (b) a polar discrete phase in said carbon dioxide continuous phase; (c) a metal in said discrete phase (i.e., a metal removed from the substrate, or to be coated onto the substrate); (d) at least one ligand in said continuous phase, said discrete phase, or both said continuous and said discrete phase.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: October 11, 2005
    Assignee: MiCell Technologies, Inc.
    Inventors: James P. DeYoung, James B. McClain, Stephen M. Gross, Mark I. Wagner
  • Patent number: 6942813
    Abstract: A method for etching magnetic and ferroelectric materials using a pulsed substrate biasing technique (PSBT) that applies a plurality of processing cycles to the substrate, where each cycle comprises a period of plasma etching without substrate bias and a period of plasma etching with the substrate bias. In exemplary applications, the method is used for fabricating magneto-resistive random access memory (MRAM) and ferroelectric random access memory (FeRAM) devices.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: September 13, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Chentsau Ying, Padmapani C. Nallan, Ajay Kumar, Xiaoyi Chen