Plasma Cleaning Patents (Class 134/1.1)
  • Publication number: 20100139691
    Abstract: The invention relates to a method of cleaning the surface of a material that is coated with an organic substance. The inventive method is characterized in that it comprises the following steps, consisting in: introducing the material into a treatment chamber, having a pressure of between 10 mbar and 1 bar therein, which is supplied with a gas stream containing at least 90 volume percent of oxygen; and generating a plasma by passing an electric discharge between the surface of the material and a dielectric-covered electrode in order to break down the organic substance under the action of the free radicals O thus produces. The invention also relates to an installation that is used to carry out said method.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 10, 2010
    Applicant: USINOR
    Inventors: Eric SILBERBERG, Eric Michel, Francois Reniers, Claudine Buess-Herman
  • Patent number: 7727906
    Abstract: This invention relates to electronic device fabrication for making devices such as semiconductor wafers and resolves the detrimental fluorine loading effect on deposition in the reaction chamber of a HDP CVD apparatus used for forming dielectric layers in high aspect ratio, narrow width recessed features with a repeating dep/etch/dep process. The detrimental fluorine loading effect in the chamber on deposition uniformity is reduced and wafers are provided having less deposition thickness variations by employing the method using a passivation treatment and precoating of the chamber before substrates are processed. In a preferred process, after each wafer of a batch is finished, the passivation steps are repeated. In a further preferred process, after all the wafers of a batch are finished, the passivation and precoat procedure is repeated. A preferred passivation gas is a mixture of hydrogen and oxygen.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: June 1, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Sunil Shanker, Chi-I Lang, Minh Anh Nguyen, Judy H. Huang
  • Patent number: 7718008
    Abstract: The present invention provides a method for cleaning a photo mask without the need for removal of the pellicle mounted on the photo mask, without the large scale equipment for washing with a solution, with a small number of steps for cleaning and inspection, and without the increase of the production cost.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: May 18, 2010
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Shu Shimada, Noriyuki Takahashi, Hiroko Tanaka, Hiroyuki Ishii, Yusuke Shoji, Masashi Ohtsuki
  • Publication number: 20100116791
    Abstract: A plasma system for substrate processing comprising, a conducting electrode (b, bb) on which one or more substrates (d) can be held; a second conducting electrode (a) placed adjacent but separated from the substrate holding electrode on the side away from the side where the substrates are held; and a gas mixture distribution shower head (e) placed away from the conducting electrode on the side where the substrates are held for supplying the gas mixture (f) needed for processing the substrates in a uniform manner; such that a plasma configuration initiated and established, between the conducting electrode holding the substrates and the second conducting electrode envelops the electrode holding the substrate, is kept away from the shower head activating and distributing the gas mixture through orifices (ee) in the shower head, there by providing advantages of uniformity, yield and reliability of process.
    Type: Application
    Filed: March 11, 2008
    Publication date: May 13, 2010
    Inventors: Kenneth B.K. Teo, Nalin L. Rupesinghe
  • Publication number: 20100116790
    Abstract: A device for locally producing microwave plasma. The device comprises at least one microwave feed that is surrounded by at least one dielectric tube. At least one of the dielectric tubes, such as an outer dielectric tube, is partially surrounded by a metal jacket. A locally delimited plasma is produced by the device by shielding microwaves.
    Type: Application
    Filed: October 11, 2007
    Publication date: May 13, 2010
    Applicant: iplas Innovative Plasma Systems GmbH
    Inventor: Ralf Spitzl
  • Patent number: 7713432
    Abstract: The present invention provides a method and an apparatus for improving the etch uniformity across a substrate during a plasma etch process that employs the use of an inductively coupled plasma helical inductor. The plasma apparatus comprising a vacuum chamber, a support member in the vacuum chamber for holding the substrate, an etchant gas supply for providing an etchant gas to the vacuum chamber, an exhaust in fluid communication with the vacuum chamber, an RF power source and a helical inductor disposed around or near a portion of the vacuum chamber. A sensor is provided for measuring a process attribute to generate a signal to a controller that then controls a mechanism that varies the position of the helical inductor so that the uniformity of the plasma etch is improved.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: May 11, 2010
    Inventors: David Johnson, Russell Westerman
  • Patent number: 7709276
    Abstract: A by-product (e.g., RuF5) that is produced in the process of cleaning may cover a cleaning subject film and may obstruct the progress of the cleaning. To suppress an accumulation of the by-product, a cleaning operation is divided into plural operations, performing vacuum evacuation between the divided operations to evaporate the by-product and expose a new surface of the cleaning subject film between each supply of cleaning gas.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: May 4, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hideharu Itatani, Kazuhiro Harada
  • Publication number: 20100101602
    Abstract: Embodiments of the present invention generally include an apparatus for plasma cleaning and a method for plasma cleaning. Periodically, a PVD chamber may need to be cleaned to remove material that has built up in undesired locations within the chamber. Additionally, the sputtering target may need to be replaced. By removing the sputtering target and placing a grounded chamber lid in its place, the chamber may be plasma cleaned. The susceptor within the chamber may be electrically biased with an RF current. A stationary magnet assembly may be substantially centered behind the grounded lid to focus the cleaning plasma on the susceptor. Following the plasma cleaning, the magnet and lid may be removed and the sputtering target may be coupled to the chamber to continue processing.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 29, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin Deehan, Matt Cheng-Hsiung Tsai, Nan Lu, David T. Or, Mei Chang
  • Publication number: 20100096362
    Abstract: In a plasma processing apparatus 10, a microwave transmitted from a microwave source 900 to a coaxial waveguide 600 via a branch waveguide 905 is split into a plurality of microwaves by a branch plate 610 and then transmitted to each internal conductor 315a of a plurality of coaxial waveguides. The microwave transmitted through each internal conductor 315a of the coaxial waveguides is emitted into a processing chamber 100 from each dielectric plate 305 connected with each internal conductor 315a. A desired plasma processing is performed on a substrate G by exciting a processing gas introduced into the processing chamber 100 by the emitted microwave. Expandability for the scale-up is improved by using the plurality of dielectric plates 305. It is possible to design a compact transmission line and supply a low frequency microwave by using the coaxial waveguide in the transmission line.
    Type: Application
    Filed: June 11, 2008
    Publication date: April 22, 2010
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 7699935
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: April 20, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ramprakash Sankarakrishnan, Dale DuBois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Publication number: 20100083982
    Abstract: A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use.
    Type: Application
    Filed: December 7, 2009
    Publication date: April 8, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi MORIYA, Hiroshi NAGAIKE
  • Publication number: 20100083981
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Application
    Filed: May 28, 2008
    Publication date: April 8, 2010
    Applicant: ULVAC, INC.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Patent number: 7693597
    Abstract: A substrate processing method for removing a resist film from a substrate having the resist film formed thereon comprises maintaining the inner region of the chamber at a prescribed temperature by putting a substrate in a chamber, denaturing the resist film by supplying ozone and a water vapor in such a manner that ozone is supplied into the chamber while a water vapor is supplied into the chamber at a prescribed flow rate, the amount of ozone relative to the amount of the water vapor being adjusted such that the dew formation within the chamber is prevented, and processing the substrate with a prescribed liquid material so as to remove the denatured resist film from the substrate.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsunori Nakamori, Tadashi Iino, Noritaka Uchida, Takehiko Orii
  • Publication number: 20100071719
    Abstract: A method for cleaning an etching chamber is disclosed. The method comprises providing an etching chamber; introducing a first gas comprising an inert gas into the etching chamber for a first period of time; and transporting a first wafer into the etching chamber after the first period of time, wherein the first wafer undergoes an etching process.
    Type: Application
    Filed: May 8, 2009
    Publication date: March 25, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu Chao Lin, Ryan Chia-Jen Chen, Yih-Ann Lin, Jr Jung Lin
  • Patent number: 7682456
    Abstract: A substrate treatment method is disclosed, which can effectively reduce the amount of charges accumulated on a substrate due to treatment of the substrate with a water-containing liquid. The method comprises the steps of: supplying a water-containing liquid to a substrate held generally horizontally by a substrate holding/rotating mechanism while rotating the substrate at a first rotation speed; and removing charges from the substrate after the water supplying step by performing a puddle process for a predetermined period by retaining a liquid film of a predetermined liquid on a surface of the substrate held generally horizontally by the substrate holding/rotating mechanism with the substrate being rotated at a second rotation speed lower than the first rotation speed or kept in a non-rotating state without further supplying the predetermined liquid to the liquid film.
    Type: Grant
    Filed: November 11, 2005
    Date of Patent: March 23, 2010
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Akio Hashizume
  • Publication number: 20100059085
    Abstract: The invention relates to a plasma generator (1) for cleaning an object. The plasma generator (1) comprises a plasma chamber (2) and a support structure (6) arranged in the plasma chamber for supporting the object (7) to be cleaned. Further, the plasma generator comprises an electromagnetic shield (5a, 5b, 5c) counteracting a flow of charged plasma particles flowing from a plasma generating region towards the object, and a plasma source (8). In addition, the plasma generator comprises an additional plasma source (9,10) to form a composition of plasma sources that are arranged to generate in the plasma generating region plasmas, respectively, that mutually interact during operation of the plasma generator so as to force plasma particles to flow in a diffusely closed flow path.
    Type: Application
    Filed: December 21, 2007
    Publication date: March 11, 2010
    Applicant: NEDERLANDSE ORGANISATIE VOOR TOEGEPAST-NATUURWETEN
    Inventors: Fokko Pieter Wieringa, Norbertus Benedictus Koster, Roland van Vliet, Hubert Adriaan van Mierlo
  • Patent number: 7674497
    Abstract: A cleaning method of removing a vapor-deposition material adhering to equipments without exposure to the atmosphere is provided. A vapor-deposition material adhering to equipments (components of a film-forming apparatus) such as a substrate holder, a vapor-deposition mask, a mask holder, or an adhesion preventing shield provided in a film-forming chamber are subjected to heat treatment. Because of this, the adhering vapor-deposition material is re-sublimated, and removed by exhaust through a vacuum pump. By including such a cleaning method in the steps of manufacturing an electro-optical device, the manufacturing steps are shortened, and an electro-optical device with high reliability can be realized.
    Type: Grant
    Filed: March 17, 2006
    Date of Patent: March 9, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Takayama, Takeshi Fukunaga
  • Publication number: 20100043820
    Abstract: Disclosed is a substrate treatment method intended for a substrate having, on its surface, a composite product of an inorganic material containing silicon oxide and an organic material containing carbon and fluorine. The method comprises: an ultraviolet ray treatment step for irradiating the surface of the substrate with ultraviolet ray to remove a part of the organic material; a hydrogen fluoride processing step which is conducted after the ultraviolet ray processing step and which is for supplying a steam of hydrogen fluoride onto the surface of the substrate to remove at least a part of the inorganic material; and a heating processing step which is conducted after the ultraviolet ray processing step and which is for heating the substrate to cause the shrinkage of a part of the organic material that remains unremoved.
    Type: Application
    Filed: October 1, 2007
    Publication date: February 25, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Kawamura, Teruyuki Hayashi
  • Patent number: 7662235
    Abstract: To provide a cleaning method for an etching apparatus for a metal film that efficiently removes an etching residue deposited in an etching process chamber, assures the reproducibility of the etching performance, and keeps the etching process chamber in a low-dust-emission condition. Each time one workpiece with a metal film is etched (S1), the interior of the vacuum chamber is cleaned by replacing the workpiece with a dummy substrate (S2), performing a first step of plasma processing using oxygen (O2) and carbon tetrafluoride (CF4) to remove a carbon-based deposit pile (S3), and performing a second step of plasma processing using boron trichloride (BCl3) and chlorine (Cl2) to remove a residue that could not be removed by the first step and an etching residue of the metal film (S4).
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: February 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsushi Yoshida, Kotaro Fujimoto, Takeshi Shimada
  • Patent number: 7662236
    Abstract: In a process involving the formation of an insulating film on a substrate for an electronic device, the insulating film is formed on the substrate surface by carrying out two or more steps for regulating the characteristic of the insulating film involved in the process under the same operation principle. The formation of an insulating film having a high level of cleanness can be realized by carrying out treatment such as cleaning, oxidation, nitriding, and a film thickness reduction while avoiding exposure to the air. Further, carrying out various steps regarding the formation of an insulating film under the same operation principle can realize simplification of the form of an apparatus and can form an insulating film having excellent property with a high efficiency.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: February 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takuya Sugawara, Yoshihide Tada, Genji Nakamura, Shigenori Ozaki, Toshio Nakanishi, Masaru Sasaki, Seiji Matsuyama
  • Patent number: 7662237
    Abstract: A method for the continuous cleaning of the surface of a material (2) which is coated with an organic substance. Steps of the method are: introducing the material (2) into a treatment area which is supplied with a gas stream containing oxygen; earthing the material (2); and generating a plasma by imposing an electric field between the surface of the material (2) and at least one dielectric-covered electrode (3), the electric field being pulsed and providing a succession of positive and negative voltage pulses in relation to the material (2). Moreover, the maximum voltage of the positive pulses U+ is greater than the arc-striking voltage Ua, and the maximum absolute value of the voltage of the negative pulses U? is less than the striking voltage Ua. Also, a generator and a device which are used to carry out this method.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: February 16, 2010
    Assignee: USINOR
    Inventors: Daniel Chaleix, Patrick Choquet, Gérard Baravian, Bernard Lacour, Vincent Puech
  • Patent number: 7658802
    Abstract: An apparatus and a method of cleaning a dielectric film are provided in the present invention. In one embodiment, an apparatus of cleaning a dielectric film the apparatus includes a chamber body adapted to support a substrate therein, a remote plasma source adapted to provide a plurality of reactive radicals to the chamber body, a passage coupling the remote plasma source to the chamber body, and at least one magnet disposed adjacent the passage. In another embodiment, a method of cleaning a dielectric film that includes providing a substrate having an at least partially exposed dielectric layer disposed in a process chamber, generating a plurality of reactive radicals in a remote plasma source, flowing the reactive radicals from the remote plasma source into the process chamber through a passage having at least one magnet disposed adjacent the passage, and magnetically filtering the reactive radicals passing through the passage.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Xinyu Fu, John Forster, Wei W. Wang
  • Publication number: 20100024841
    Abstract: An ion source and method of cleaning are disclosed. One or more heating units are placed in close proximity to the inner volume of the ion source, so as to affect the temperature within the ion source. In one embodiment, one or more walls of the ion source have recesses into which heating units are inserted. In another embodiment, one or more walls of the ion source are constructed of a conducting circuit and an insulating layer. By utilizing heating units near the ion source, it is possible to develop new methods of cleaning the ion source. Cleaning gas is flowed into the ion source, where it is ionized, either by the cathode, as in normal operating mode, or by the heat generated by the heating units. The cleaning gas is able to remove residue from the walls of the ion source more effectively due to the elevated temperature.
    Type: Application
    Filed: July 31, 2009
    Publication date: February 4, 2010
    Inventors: Bon-Woong Koo, Christopher R. Campbell, Craig R. Chaney, Robert Lindberg, Wilheim P. Platow, Alexander S. Perel
  • Publication number: 20100025371
    Abstract: Provided are a method for generating hollow cathode plasma and a method for treating a large area substrate using the hollow cathode plasma. In the methods, the hollow cathode plasma is generated by a gas introduced between a hollow cathode in which a plurality of lower grooves where plasma is generated is defined in a bottom surface thereof and a baffle in which a plurality of injection holes is defined. A substrate disposed on a substrate support member is treated using the hollow cathode plasma passing through the injection holes. The uniform plasma having high density can be generated by hollow cathode effect due to the hollow cathode having the lower grooves and the injection holes of the baffle. Also, since the substrate can be treated using a hydrogen gas and a nitrogen gas in an ashing process, a damage of a low dielectric constant dielectric can be minimized.
    Type: Application
    Filed: June 5, 2009
    Publication date: February 4, 2010
    Inventors: Jeonghee Cho, Jong Ryang Joo, Shinkeun Park
  • Publication number: 20100024840
    Abstract: A method for plasma-cleaning a chamber in a process tool is described. A substrate is placed on a chuck in a process chamber having a set of contaminants therein. A plasma process is executed in the process chamber to transfer the set of contaminants to the top surface of the substrate. The substrate, having the set of contaminants thereon, is removed from the process chamber.
    Type: Application
    Filed: July 29, 2008
    Publication date: February 4, 2010
    Inventors: CHANG-LIN HSIEH, Chi-Hong Ching, Hidehiro Kojiri, Joshua Tsui
  • Patent number: 7651723
    Abstract: A process chamber is provided which includes a gate configured to align barriers with an opening of the gate and an opening of the process chamber such that the two openings are either sealed or provide an air passage to the chamber. A method is provided and includes sealing an opening of a chamber with a gate latch and exposing a topography to a first set of process steps, opening the gate latch such that an air passage is provided to the process chamber, and exposing the topography to a second set of process steps without allowing liquids within the chamber to flow through the air passage. A substrate holder comprising a clamping jaw with a lever and a support member coupled to the lever is also contemplated herein. A process chamber with a reservoir arranged above a substrate holder is also provided herein.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: January 26, 2010
    Assignee: Lam Research Corporation
    Inventors: Igor C. Ivanov, Weiguo Zhang
  • Patent number: 7648581
    Abstract: In a substrate cleaning method for cleaning a backside of a substrate on a surface of which a predetermined processing is performed, a two phase substance contacts the backside of the substrate, and a flow of the substance is generated near the backside of the substrate under a specified pressure. The two phase substance is a gas containing aerosol or a supercritical substance, and the specified pressure is higher than or equal to 133 Pa (1 Torr). Further, in the substrate cleaning method, a high-energy light may be irradiated on the backside of the substrate.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: January 19, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Tsuyoshi Moriya
  • Publication number: 20100006121
    Abstract: The present invention relates to an improved method for cleaning using plasma In particular, the present invention relates to the plasma cleaning and decontamination of instruments for use in medicine, dentistry and food preparation whereby the soiled item is exposed to a solvent and then to a plasma, whereby this enables excitation of water within the soil itself.
    Type: Application
    Filed: January 25, 2006
    Publication date: January 14, 2010
    Applicant: THE UNIVERSITY COURT OF THE UNIVERSITY OF EDINBURG
    Inventors: Robert Baxter, Helen Baxter
  • Patent number: 7645704
    Abstract: The present invention provides a method for removing sacrificial materials in fabrications of microstructures using a selected spontaneous vapor phase chemical etchants. During the etching process, an amount of the etchant is fed into an etch chamber for removing the sacrificial material. Additional amount of the etchant are fed into the etch chamber according to a detection of an amount or an amount of an etching product so as to maintaining a substantially constant etching rate of the sacrificial materials inside the etch chamber. Accordingly, an etching system is provided for removing the sacrificial materials based on the disclosed etching method.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: January 12, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Hongqin Shi, Gregory P. Schaadt
  • Patent number: 7638004
    Abstract: A method of cleaning a microwave plasma applicator tube as described herein includes preparing a microwave plasma applicator for cleaning. A general cleaning of the plasma applicator tube is performed using an organic solvent wash and an ultrapure water wash. Selective cleanings of the tube are performed to remove selected contaminants. Such cleanings include a third wash with an alkaline cleaning solution, a fourth wash with an acidic cleaning solution and another wash using an ammonia and peroxide solution. The tube is rinsed using a sonicating wash performed in ultrapure water followed by drying. Also, the coil can be cleaned using acidic wash solution.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: December 29, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Harmeet Singh, Raphael Casaes, Duane Outka, Mohammad Kamarehi
  • Patent number: 7637268
    Abstract: In a film formation method for a semiconductor process, a target substrate having a target surface with a natural oxide film is loaded into a reaction chamber, while setting the reaction chamber at a load temperature lower than a threshold temperature at which the natural oxide film starts being stabilized. Then, the natural oxide film is removed by etching, while supplying an etching gas containing chlorine without containing fluorine, and setting the reaction chamber at an etching pressure and an etching temperature lower than the threshold temperature. Then, the reaction chamber is purged. Then, a thin film is formed on the target surface by CVD, while supplying a film formation gas, and setting the reaction chamber at a film formation temperature.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: December 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Kazumi Kubo, Masahiko Kaminishi
  • Patent number: 7637269
    Abstract: A method for removing a mask layer and reducing damage to a patterned dielectric layer is described. The method comprises disposing a substrate in a plasma processing system, wherein the substrate has a dielectric layer formed thereon and a mask layer overlying the dielectric layer. A pattern is formed in the mask layer and a feature formed in the dielectric layer corresponding to the pattern as a result of an etching process used to transfer the pattern in the mask layer to the dielectric layer. The feature includes a sidewall with a first roughness resulting from the etching process. A process gas comprising CO2 and CO is introduced into the plasma processing system, and plasma is formed. The mask layer is removed, and a second roughness, less than the first roughness, is produced by selecting a flow rate of the CO relative to a flow rate of the CO2.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Kelvin Zin, Masaru Nishino, Chong Hwan Chu, Yannick Feurprier
  • Publication number: 20090314309
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Application
    Filed: June 19, 2008
    Publication date: December 24, 2009
    Inventors: Ramprakash Sankarakrishnan, Dale DuBois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Publication number: 20090317927
    Abstract: A method of cleaning a patterning device, the patterning device having at least organic coating material (OLED material) deposited thereon, comprises the step of providing a cleaning plasma for removing the coating material from the patterning device by means of a plasma etching process. During the step of removing the coating material from the patterning device, the temperature of the patterning device does not exceed a critical temperature causing damage to the patterning device, while maintaining a plasma etching rate of at least 0.2 ?m/min. In order to generate a pulsed cleaning plasma, pulsed energy is provided. The method can be carried out in a direct plasma etching process or in a remote plasma etching process. Different etching processes may be combined or carried out subsequently.
    Type: Application
    Filed: April 24, 2008
    Publication date: December 24, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Uwe Hoffmann, Jose Manuel Dieguez-Campo
  • Publication number: 20090314951
    Abstract: In a cleaning process for an ion source chamber, an electrode positioned outside of the ion source chamber includes a suppression plug. When the cleaning gas is introduced into the source chamber, the suppression plug may engage an extraction aperture of the source chamber to adjust the gas pressure within the chamber to enhance chamber cleaning via. plasma-enhanced chemical reaction. The gas conductance between the source chamber aperture and the suppression plug can be adjusted during the cleaning process to provide optimum cleaning conditions and to exhaust unwanted deposits.
    Type: Application
    Filed: June 20, 2008
    Publication date: December 24, 2009
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Costel Biloiu, Craig R. Chaney, Eric R. Cobb, Bon-Woong Koo, Wilhelm P. Platow
  • Publication number: 20090314310
    Abstract: A deposit removal method including a first process of stripping at least part of a deposit that has deposited on inner walls of a reaction chamber and/or a surface of components located inside the reaction chamber where a deposited film is formed from the inner walls of the reaction chamber and/or the surface of components located inside the reaction chamber; and a second process of physically removing the stripped deposit.
    Type: Application
    Filed: June 17, 2009
    Publication date: December 24, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Takeshi Shishido
  • Patent number: 7635016
    Abstract: In a board cleaning method for dry cleaning of connection sites on resin-based boards, one or more gases selected from a group consisting of gas that contains a hydrogen element and gas that contains a fluorine element are supplied at least to the connection sites, plasma is generated from the supplied gas, and the boards are cleaned by radicals and ions that are produced by the generated plasma.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: December 22, 2009
    Assignee: Panasonic Corporation
    Inventors: Naoki Suzuki, Youichi Nakamura, Kazuyuki Tomita
  • Patent number: 7632420
    Abstract: A method for treating a substrate having a layer or coating of material thereon (such as for example a metal conductor coated with an insulating ‘enamel’) comprises the steps of directing a pulsed beam of laser radiation at the substrate to cause an interaction or adjacent the interface between the layer or coating and the substrate, leading to local separation of the layer or coating. The removal is effected by creating an interaction effect at the interface between the substrate and the layer or coating to create an effect similar to a shockwave which causes local separation of the layer or coating at the interface.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: December 15, 2009
    Assignee: Spectrum Technologies PLC
    Inventors: Adrian Thomas, Jonathan Davies, Peter Hugh Dickinson
  • Publication number: 20090301517
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Application
    Filed: June 4, 2009
    Publication date: December 10, 2009
    Applicant: KOMATSU LTD.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Publication number: 20090293808
    Abstract: This invention provides a new film forming method in which, on the occasion that pressure is decreased by pressure decreasing means which was connected to a film forming chamber, and a film is formed by evaporating an organic compound material from a deposition source in the film forming chamber, minute amounts of gas (silane series gas) which comprises smaller particles than particles of the organic compound material, i.e., a material with a smaller atomic radius are flowed, and the material with a small atomic radius is made to be included in an organic compound film.
    Type: Application
    Filed: August 14, 2009
    Publication date: December 3, 2009
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 7625826
    Abstract: The invention relates to a method of manufacturing a semiconductor device (10) with a substrate (1) and a semiconductor body (11) which comprises at least one semiconductor element, wherein, after formation of the element, a layer structure is formed which comprises at least one electrically insulating layer (2) or an electrically conductive layer (3), wherein an opening is formed in the layer structure with the aid of a patterned photoresist layer (4) and an etching process, wherein residues are formed on the surface of the semiconductor body (11) during the etching process, and wherein the photoresist layer (4) is ashed, after the etching process, by means of a treatment with an oxygen-containing compound, after which the surface is subjected to a cleaning operation using a cleaning agent comprising a diluted solution of an acid in water and being heated to a temperature above room temperature, thereby causing the residues formed to be removed.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: December 1, 2009
    Assignee: NXP B.V.
    Inventors: Ingrid Annemarie Rink, Reinoldus Bernardus Maria Vroom
  • Publication number: 20090280650
    Abstract: Methods of depositing and curing a dielectric material on a substrate are described. The methods may include the steps of providing a processing chamber partitioned into a first plasma region and a second plasma region, and delivering the substrate to the processing chamber, where the substrate occupies a portion of the second plasma region. The methods may further include forming a first plasma in the first plasma region, where the first plasma does not directly contact with the substrate, and depositing the dielectric material on the substrate to form a dielectric layer. One or more reactants excited by the first plasma are used in the deposition of the dielectric material. The methods may additional include curing the dielectric layer by forming a second plasma in the second plasma region, where one or more carbon-containing species is removed from the dielectric layer.
    Type: Application
    Filed: September 15, 2008
    Publication date: November 12, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Qiwei Liang, Jang Gyoo Yang
  • Publication number: 20090280587
    Abstract: A method of treating a soda-lime glass (SLG) substrate includes cleaning the SLG substrate using an alkali cleaning solution and cleaning the cleaned SLG substrate using a plasma process. The SLG substrate is cleaned using the alkali cleaning solution to remove particles adhered to the SLG substrate. Thus, defects due to the adhering particles may be reduced.
    Type: Application
    Filed: April 22, 2009
    Publication date: November 12, 2009
    Inventors: Sang-Woo WHANGBO, Bong-Kyu Shin, Sang-Uk Lim, Jun-Hyung Souk, Jin-Ho Ju
  • Publication number: 20090272402
    Abstract: A method for detecting plasma unconfinement in a reaction chamber during a bevel edge cleaning operation is provided. The method initiates with selecting a wavelength associated with expected by products of a bevel edge clean process. The method includes cleaning the bevel edge area of a substrate and monitoring the intensity of the selected wavelengths during the cleaning for deviation from a threshold wavelength intensity. The cleaning is terminated if the deviation from the threshold wavelength intensity exceeds a target deviation.
    Type: Application
    Filed: May 2, 2008
    Publication date: November 5, 2009
    Inventors: KeeChan Kim, Yunsang Kim, Andrew D. Bailey, III
  • Publication number: 20090269506
    Abstract: The present invention provides a process and an apparatus for remote plasma cleaning of a process chamber of a chemical vapor deposition (CVD) reactor. The reactive species are generated in a remote plasma unit and are introduced into the process chamber through a plurality of inlet holes. The reactive species are free radicals such as oxygen radicals, fluorine radicals, and the like. These reactive species react with the unwanted residues in the process chamber and generate volatile products. The invention also provides a method for controlling the flow rate of the reactive species.
    Type: Application
    Filed: April 24, 2008
    Publication date: October 29, 2009
    Inventor: Seiji Okura
  • Publication number: 20090260654
    Abstract: A method and a device for replacing objective parts, especially of a projection or illumination objective for microlithography in which an objective having an objective interior and objective parts provided therein is provided. At least one objective part is replaceably accommodated in the objective. Immediately prior to installation in the objective, the replaceable objective part is cleaned outside the objective interior in at least one cleaning room sealed off from the ambient atmosphere. Immediately after cleaning, the replaceable objective is installed in the objective without contact with the normal ambient atmosphere.
    Type: Application
    Filed: April 27, 2009
    Publication date: October 22, 2009
    Applicant: Carl Zeiss SMT AG
    Inventors: Bernhard Geuppert, Guido Limbach, Harald Woelfle, Peter Deufel
  • Patent number: 7604010
    Abstract: A film formation apparatus for a semiconductor process includes a cleaning gas supply circuit, a concentration measuring section, and an information processor. The cleaning gas supply circuit is configured to supply a cleaning gas into a reaction chamber to perform cleaning of removing from an inner surface of the reaction chamber a by-product film derived from a film formation gas. The concentration measuring section is disposed in an exhaust system to monitor concentration of a predetermined component contained in exhaust gas from the reaction chamber. The information processor is configured to compare a measurement value obtained by the concentration measuring section with a preset value and to thereby determine an end point of the cleaning.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: October 20, 2009
    Assignees: Tokyo Electron Limited, HORIBA Ltd.
    Inventors: Kazuhide Hasebe, Nobutake Nodera, Atsushi Endo, Makoto Umeki, Katsumi Nishimura, Masakazu Minami, Makoto Yoshida
  • Patent number: 7604841
    Abstract: A method for extending time between chamber cleaning processes in a process chamber of a processing system. A particle-reducing film is formed on a chamber component in the process chamber to reduce particle formation in the process chamber during substrate processing, at least one substrate is introduced into the process chamber, a manufacturing process is performed in the process chamber, and the at least one substrate is removed from the process chamber. The particle-reducing film may be deposited on a clean chamber component or on a material deposit formed on a chamber component. Alternatively, the particle-reducing film may be formed by chemically modifying at least a portion of a material deposit on a chamber component. The particle-reducing film may be formed after each manufacturing process or at selected intervals after multiple manufacturing processes.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: October 20, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Raymond Joe, John Gumpher, Anthony Dip
  • Publication number: 20090258159
    Abstract: A method includes forming an absorption material layer on a mask; applying a plasma treatment to the mask to reduce chemical contaminants after the forming of the absorption material layer; performing a chemical cleaning process of the mask; and performing a gas injection to the mask.
    Type: Application
    Filed: April 10, 2008
    Publication date: October 15, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yih-Chen Su, Ting-Hao Hsu, Sheng-Chi Chin, Heng-Jen Lee, Hung Chang Hsieh, Yao-Ching Ku
  • Patent number: 7598503
    Abstract: A lithographic apparatus is disclosed. The apparatus includes a source for supplying hydrogen radicals, a guide for use in conjunction with the source, for directing hydrogen radicals to an application surface to be targeted by the hydrogen radicals. The guide is provided with a coating having a hydrogen radical recombination constant of less than 0.2. In this way, the radicals can be transported with reduced losses and are able to better interact with remaining contaminants on application surfaces, such as mirror surfaces.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: October 6, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Vadim Yevgenyevich Banine, Derk Jan Wilfred Klunder, Johannes Hubertus Josephina Moors