Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 7395823
    Abstract: The present invention relates to a process for production, shipment, and treatment of a NH4F(HF)x feedstock for local production of fluorine and NF3 for semiconductor chamber cleaning without the need for storage of large quantities of dangerous feeds and intermediate products.
    Type: Grant
    Filed: April 4, 2005
    Date of Patent: July 8, 2008
    Assignee: The BOC Group, Inc.
    Inventor: Donald P. Satchell, Jr.
  • Publication number: 20080160210
    Abstract: Embodiments described herein provide methods for removing native oxide surfaces on substrates while simultaneously passivating the underlying substrate surface. In one embodiment, a method is provided which includes positioning a substrate containing an oxide layer within a processing chamber, adjusting a first temperature of the substrate to about 80° C. or less, generating a cleaning plasma from a gas mixture within the processing chamber, such that the gas mixture contains ammonia and nitrogen trifluoride having an NH3/NF3 molar ratio of about 10 or greater, and condensing the cleaning plasma onto the substrate. A thin film, containing ammonium hexafluorosilicate, is formed in part, from the native oxide during a plasma clean process. The method further includes heating the substrate to a second temperature of about 100° C. or greater within the processing chamber while removing the thin film from the substrate and forming a passivation surface thereon.
    Type: Application
    Filed: December 21, 2007
    Publication date: July 3, 2008
    Inventors: Haichun Yang, Xinliang Lu, Chien-Teh Kao, Mei Chang
  • Publication number: 20080142038
    Abstract: A method for improving the wettability of a medical device is provided, the method comprising the steps of (a) providing a medical device formed from a monomer mixture comprising a hydrophilic monomer and a siloxy-containing monomer, (b) subjecting a surface of the medical device to a surface treatment, and (c) contacting the treated surface of the medical device with a wetting agent solution comprising a carboxylic acid-containing polymer or copolymer to form a carboxylic acid-containing polymeric or copolymeric layer on the treated surface of the medical device.
    Type: Application
    Filed: October 25, 2007
    Publication date: June 19, 2008
    Applicant: Bausch & Lomb Incorporated
    Inventors: Jay F. Kunzler, Mark Stachowski, Jeffrey G. Linhardt, Joseph C. Salamone
  • Publication number: 20080127993
    Abstract: An emission control device, such as a filter, is regenerated by exposure to plasma. Plasma breaks down carbon-based residues, such as soot, to enable the filter to be easily cleaned and regenerated without subjecting the filter to heat-related stress associated with thermal regeneration methods. Secondary plasma generation is used to overcome impediments caused by the presence of a metallic housing and/or metal-containing materials such as a washcoat or mesh in the filter.
    Type: Application
    Filed: May 8, 2007
    Publication date: June 5, 2008
    Applicant: TOTALCAT GROUP, INC.
    Inventors: Robert Graifman, Stephen L. Kaplan, Gerald B. Smith
  • Patent number: 7381344
    Abstract: The invention teaches a multi-step method for shutting down the dry-etch process. The ICP rf power is reduced between each of these consecutive power-down steps of the dry-etch process, the complete power-down sequence consists of six steps. These six steps are executed in sequence and without interruption and form the totality of the dry-etch chamber power-down procedure.
    Type: Grant
    Filed: May 12, 1999
    Date of Patent: June 3, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sheng-Chi Chin, Shy-Jay Lin
  • Patent number: 7372049
    Abstract: An EUV lithographic apparatus includes an EUV radiation source, an optical element and a cleaning device. The cleaning device includes a hydrogen radical source and a flow tube in communication with the hydrogen radical source. The cleaning device is configured to provide a flow of hydrogen radicals and the flow tube is arranged to provide a hydrogen radical flow at a predetermined position within the lithographic apparatus, for example for cleaning a collector mirror.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: May 13, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Derk Jan Wilfred Klunder
  • Publication number: 20080105276
    Abstract: A method of improving surface flame resistance of a substrate is provided. A substrate is provided. An atmosphere pressure plasma process is performed on the surface of the substrate to form an inorganic film layer on the surface of the substrate, wherein a process gas of the atmosphere plasma process includes a flame resistance precursor, a carrier gas, and a plasma ignition gas. Particularly, the flame resistance precursor is selected from a siloxane compound, an inorganic alkoxide compound and a combination thereof. The siloxane compound has a formula of Si(OCnH2(n+1))4, n=1˜5, and the inorganic alkoxide compound has a formula of A(OCmH2m+1)4, where A represents Sn, Ti, Zr, Ce and m=2.
    Type: Application
    Filed: December 29, 2006
    Publication date: May 8, 2008
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Shu-Ling Yeh, Chin-jiuh Kang
  • Patent number: 7367344
    Abstract: The present invention relates to methods and apparatus for the use of atmospheric pressure non-thermal plasma to clean and sterilize the surfaces of liquid handling devices. In one embodiment, a method of cleaning a fluid handling device includes the steps of inserting a tip of the fluid handling device into an interior of a channel through a first opening disposed at a first end of the channel, wherein a first electrode is disposed adjacent an exterior of the channel; causing a plasma to be formed within the interior of the channel; and removing the fluid handling device from the channel through the first opening.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: May 6, 2008
    Assignee: Cerionx, Inc.
    Inventor: Peter Frank Kurunczi
  • Patent number: 7368397
    Abstract: Disclosed is a method for monitoring an edge bead removal process for a copper metal interconnection. The method includes the steps of (a) forming a copper metal layer on a semiconductor wafer, (b) performing the edge bead removal (EBR) process of removing the copper metal layer formed in an edge area of the semiconductor wafer, and (c) determining whether copper residues exist by measuring a reflection coefficient Rc of the copper metal layer formed in a center area of the semiconductor wafer and a reflection coefficient (Rb) in the edge area of the semiconductor wafer which is subject to the edge bead removal (EBR) process.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: May 6, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ji Ho Hong
  • Patent number: 7368020
    Abstract: A method of transporting a reticle is disclosed. The reticle is placed in a reticle carrier that has an ionizer. Moreover, the reticle may be attached with a pellicle. The pellicle consists of a pellicle frame and a pellicle film stretched over the pellicle frame. The pellicle frame has included within an absorbent material.
    Type: Grant
    Filed: April 5, 2004
    Date of Patent: May 6, 2008
    Assignee: Intel Corporation
    Inventors: Giang T. Dao, Ronald J. Kuse
  • Publication number: 20080099039
    Abstract: Plasma etch-cleaning of substrates is performed by means of a plasma discharge arrangement comprising an electron source cathode (5) and an anode arrangement (7). The anode arrangement (7) comprises on one hand an anode electrode (9) and on the other hand and electrically isolated therefrom a confinement (11). The confinement (11) has an opening (13) directed towards an area (S) of a substrate (21) to be cleaned. The electron source cathode (5) and the anode electrode (9) are electrically supplied by a supply circuit with a supply source (19). The circuit is operated electrically floating.
    Type: Application
    Filed: October 10, 2007
    Publication date: May 1, 2008
    Inventors: SIEGFRIED KRASSNITZER, OLIVER CSTOEHL, DANIEL LEWIS
  • Publication number: 20080093023
    Abstract: A method for using a semiconductor processing apparatus includes supplying an oxidizing gas and a reducing gas into a process container of the processing apparatus accommodating no product target substrate therein; causing the oxidizing gas and the reducing gas to react with each other within a first atmosphere that activates the oxidizing gas and the reducing gas inside the process container, thereby generating radicals; and removing a contaminant from an inner surface of the process container by use of the radicals.
    Type: Application
    Filed: October 17, 2007
    Publication date: April 24, 2008
    Inventors: Masahiko Tomita, Kota Umezawa, Ryou Son, Toshiharu Nishimura
  • Patent number: 7357138
    Abstract: A process for the removal of a substance from a substrate for etching and/or cleaning applications is disclosed herein. In one embodiment, there is provided a process for removing a substance having a dielectric constant greater than silicon dioxide from a substrate by reacting the substance with a reactive agent that comprises at least one member from the group consisting a halogen-containing compound, a boron-containing compound, a hydrogen-containing compound, nitrogen-containing compound, a chelating compound, a carbon-containing compound, a chlorosilane, a hydrochlorosilane, or an organochlorosilane to form a volatile product and removing the volatile product from the substrate to thereby remove the substance from the substrate.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: April 15, 2008
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Bing Ji, Stephen Andrew Motika, Ronald Martin Pearlstein, Eugene Joseph Karwacki, Jr., Dingjun Wu
  • Patent number: 7354525
    Abstract: For a surface processing apparatus using a plasma, a mixed gas of a fluorine-containing gas and an oxygen gas is used as an ashing gas. A mixed gas of an oxygen gas and a fluorine-containing gas is introduced as an ashing gas. This allows the following steps to be carried out at the same time: removal of the silicon component left on the mask material surface and the mask material in the area including the cured mask layer and the like; and the removal of the carbon-based, and silicon-based deposits deposited on the inner wall of a vacuum chamber. In addition, the removal of the mask material is performed under low pressure, and in the subsequent step to a step using a mixed gas of a fluorine-containing gas and an oxygen gas, a plasma of only an oxygen gas is used. As a result, it becomes possible to reduce the damages (etching) to the film layer after etching.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: April 8, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masatoshi Oyama, Yoshiyuki Ohta, Tsuyoshi Yoshida, Hironobu Kawahara
  • Patent number: 7354865
    Abstract: A method of removing the pattern resist that remains on a microchip wafer after etching a patterned layer that is supported by a spacer layer. After the etch, the wafer is cleaned with a develop clean process that removes polymer residues from the pattern resist surface. Next is an ash to remove the hardened pattern resist surface, followed by removal of the pattern resist.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: April 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Anthony DiCarlo, Lisa A. Wesneski
  • Publication number: 20080066778
    Abstract: A method of cleaning a UV irradiation chamber includes steps of: (i) after completion of irradiating a substrate with UV light transmitted through an optical transmitted window provided in the UV irradiation chamber, generating radical species of a cleaning gas outside the UV irradiation chamber; and (ii) introducing the radical species from the outside of the UV irradiation chamber into the UV irradiation chamber, thereby cleaning the optical transmitted window.
    Type: Application
    Filed: September 19, 2007
    Publication date: March 20, 2008
    Applicant: ASM JAPAN K.K.
    Inventors: Kiyohiro Matsushita, Hideaki Fukuda, Kenichi Kagami
  • Publication number: 20080063576
    Abstract: The present invention provides a microplasma jet generator capable of stably generating a microplasma jet in a microspace at atmospheric pressure with low electric power. The microplasma jet generator is driven with a VHF power supply to generate an inductively coupled microplasma jet and includes a substrate, a micro-antenna disposed on the substrate, and a discharge tube located close to the micro-antenna. The micro-antenna has a flat meandering shape with plural turns.
    Type: Application
    Filed: July 22, 2004
    Publication date: March 13, 2008
    Applicant: Japan Science and Technology Agency
    Inventor: Takanori Ichiki
  • Patent number: 7338904
    Abstract: A surface of a semiconductor wafer which has been lapped is ground. This removes a damage caused on the wafer surface during lapping, thereby increasing the flatness of the wafer surface. Next, the wafer is subjected to composite etching and the both surfaces are polished, i.e., subjected to mirror polishing while the wafer rear surface is slightly polished so as to obtain a single-side mirror surface wafer having a difference between the front and the rear surfaces. As compared to mere acid etching or alkali etching, it is possible to manufacture a single-side mirror surface wafer having a higher flatness.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: March 4, 2008
    Assignee: SUMCO Corporation
    Inventors: Sakae Koyata, Tadashi Denda, Masashi Norimoto, Kazushige Takaishi
  • Publication number: 20080047579
    Abstract: A method for determining the endpoint of a cleaning process in which a metallic residue is removed from an underlying surface which comprises a metal by contacting the residue with a cleaning agent which volatilizes the residue and which tends to attack the metal of the underlying surface and volatilizes it if the cleaning process is not terminated timely, and in which the metal comprising the underlying surface is more reactive with the cleaning agent than the metal of the metallic residue, the improvement which comprises terminating the cleaning process at a time when the ratio of the amount of volatilized metal to the amount of cleaning agent increases from a lower to a higher value.
    Type: Application
    Filed: August 25, 2006
    Publication date: February 28, 2008
    Inventors: Bing Ji, Stephen Andrew Motika, Dingjun Wu, Eugene Joseph Karwacki
  • Publication number: 20080047580
    Abstract: A substrate treating apparatus for stripping photoresist on a substrate includes a support part for supporting the substrate, a dry-type treating part for stripping the photoresist on the substrate, and a wet-type treating part for stripping the photoresist on the substrate. While the substrate is supported by the support part, the photoresist on the substrate is primarily stripped by means of the dry-type treating part and secondarily stripped by means of the wet-type treating part. The dry-type treating part includes a plasma supply unit configured to supply plasma onto the substrate and a moving unit configured to vary a relative position of the plasma supply unit and the substrate.
    Type: Application
    Filed: July 31, 2007
    Publication date: February 28, 2008
    Inventors: Yi Jung Kim, Kyung Jin Seo, Chang Ro Yoon, Jung Keun Cho
  • Publication number: 20080047578
    Abstract: An apparatus and method are disclosed for cleaning exhaust lines from a reaction chamber used in manufacturing semiconductor devices. In particular, an apparatus is disclosed for receiving an exhaust gas from a semiconductor processing reaction chamber, mixing said exhaust gas with a cleaning gas, exciting the mixture to a plasma state, and pumping the mixture away via an exhaust line. A vacuum pump is provided for pumping the mixture, and a scrubber may be provided to remove particulate and other matter from the gas mixture. Radio-frequency or microwave energy may be used to excite the gas mixture, and the cleaning gas may comprise argon.
    Type: Application
    Filed: August 24, 2006
    Publication date: February 28, 2008
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Feng Yoo, Jean Wang, Jyh-Cherng Sheu
  • Publication number: 20080035170
    Abstract: In a cleaning apparatus and a method of cleaning a chamber used in manufacturing a semiconductor device, a first plasma may be provided into a chamber to remove a first residue from an inner wall of the chamber where the first residue is attached. A second plasma may then be provided into the chamber to remove a second residue formed by the first plasma from an inside of the chamber where the second residue remains. The second residue formed by the first plasma used to clean the chamber may not pollute a semiconductor substrate located in the chamber.
    Type: Application
    Filed: July 25, 2007
    Publication date: February 14, 2008
    Inventors: Kye-Hyun Baek, Jong-Hoon Kang, Yong-Jin Kim, Young-Soo Lim
  • Patent number: 7326503
    Abstract: A method of fabricating a color filter array including the removal of unwanted residual color pigments. A substrate is coated with a colored photoresist layer. The photoresist layer is patterned. The substrate is then cured. A descumming step is performed after the curing step to remove the residual pigments without causing significant damage to the remaining color filter array pattern. In another embodiment, the descumming process may be used to control or manipulate the thickness of the color filter array. In another embodiment, the descumming process may be used to modify the surface of the color filter array to be more desirable for the formation of microlenses or other layers over the color filter array.
    Type: Grant
    Filed: August 22, 2005
    Date of Patent: February 5, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Earnest Hodge, Brent A. McClure
  • Patent number: 7322368
    Abstract: A plasma cleaning gas for CVD chamber is a gas for cleaning silicon-containing deposits on the surface of a CVD chamber inner wall and the surfaces of members placed inside the CVD chamber after film forming treatment on a substrate by a plasma CVD apparatus. The cleaning gas includes 100% by volume of fluorine gas which gas can generate plasma by electric discharge. When 100% by volume of fluorine gas is plasma-generated by electric discharge and then used as a cleaning gas, an extremely excellent etching rate can be attained and further plasma can be stably generated even in the total gas flow rate of 1000 sccm and at a chamber pressure of 400 Pa. Further, the uniformity of cleaning can be also ensured in the above conditions. Additionally the fluorine gas concentration is 100% so that the apparatus is not complicated and thereby the cleaning gas has excellent practicability.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: January 29, 2008
    Inventors: Akira Sekiya, Yuki Mitsui, Yutaka Ohira, Taisuke Yonemura
  • Patent number: 7320331
    Abstract: An in-situ plasma cleaning device (PCD) performs an atomic surface cleaning process to remove contaminants and/or to modify the cylindrical surfaces of both the target and substrate. The atomic cleaning process utilizes a plasma generated locally within the in-situ plasma cleaning device with suitable properties to clean both the target and substrate cylindrical surfaces either concurrently or separately. Moreover, the in-situ plasma cleaning device is designed to traverse the length of the target and the substrate cylindrical surfaces during the cleaning process.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: January 22, 2008
    Assignee: United States of America as represented by the Secrertary of the Army
    Inventors: Michael J. Audino, Michael Cipollo, David Glocker, Kevin Miner, Patrick Vottis
  • Publication number: 20080000497
    Abstract: A method of removing organic-containing layers, such as photoresists, high temperature organic layers, or organic dielectric materials, from large surface area substrates by plasma treatment at or near atmospheric pressure, wherein said large surface area substrate is transported on a conveyor belt system during said plasma treatment. The plasma is typically principally comprised of a chemically non-reactive species, such as helium. The method can be integrated in-line with the wet strip and/or wet clean, or it can be used in a stand alone system. The apparatus for carrying out the method is also described.
    Type: Application
    Filed: June 30, 2006
    Publication date: January 3, 2008
    Inventor: Steven Verhaverbeke
  • Publication number: 20080003436
    Abstract: A method of treating a glass substrate for bonding. The method includes providing a glass substrate having a fritted portion of a ceramic frit material and a non-fritted portion. At least a portion of the fritted portion includes a bondable surface. The method further includes cleaning and activating the bondable surface for subsequent bonding. The cleaning and bonding steps are carried out by applying an air plasma to the bondable surface.
    Type: Application
    Filed: June 28, 2006
    Publication date: January 3, 2008
    Applicant: FORD GLOBAL TECHNOLOGIES, LLC
    Inventors: Larry Haack, Ann Straccia, Joe Holubka
  • Publication number: 20070295356
    Abstract: The method and apparatus of the embodiments of the present invention employ an in-situ particle decontamination technique that allows for such decontamination while a wafer is a vacuum tool or deposition chamber, thereby eliminating the need for another device for performing decontamination. This in-situ decontamination is effective for particle contamination resulting, for example, from tool resident mechanical component. Furthermore, particle decontamination is performed in the presence of plasma, having a potential for helping to maximize a “self bias” voltage, under RF conditions, and is integrated into the vacuum process.
    Type: Application
    Filed: June 22, 2006
    Publication date: December 27, 2007
    Inventors: Paul Alejon Fontejon, Yunxiao Gao, Yinshi Liu, Ning Shi
  • Patent number: 7297286
    Abstract: A method for manufacturing an article having polymeric residue that is to be removed during the manufacture of the article is disclosed. The article is introduced into a controlled environment of a processing tool having one or more processing chambers. Free radicals are generated from one or more reactant gases and introduced into at least one of the one or more processing chambers where they react with the polymeric residue. A cryogenic cleaning medium is supplied into at least one of the one or more processing chambers where the cryogenic cleaning medium removes the polymeric residue present after the free radicals react with the polymeric residue. The reactant gases are selected to facilitate removal of the polymeric residue with the cryogenic cleaning medium. The cryogenic cleaning medium is supplied with a pulsating flow via a nozzle implement that sweeps across the article.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: November 20, 2007
    Assignee: Nanoclean Technologies, Inc.
    Inventors: Adel George Tannous, Khalid Makhamreh
  • Patent number: 7291286
    Abstract: Methods for removing black silicon or black silicon carbide from a plasma-exposed surface of an upper electrode of a plasma processing chamber are provided. The methods include forming a plasma using a gas composition containing a fluorine-containing gas, and removing the black silicon or black silicon carbide from the surface with the plasma. The methods can also remove black silicon or black silicon carbide from surfaces of the components in the chamber in addition to the upper electrode.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: November 6, 2007
    Assignee: Lam Research Corporation
    Inventors: Enrico Magni, Michael Kelly, Robert Hefty, Michelle Lupan
  • Patent number: 7288491
    Abstract: One method of performing plasma immersion ion implantation on a workpiece in a plasma reactor chamber includes initially depositing a seasoning film on the interior surfaces of the plasma reactor chamber before the workpiece is introduced, by introducing a seasoning film precursor gas into the chamber and generating a plasma within the chamber, performing plasma immersion ion implantation on the workpiece by introducing an implant species precursor gas into the chamber and generating a plasma, and then removing the workpiece from the chamber and removing the seasoning film from the chamber interior surfaces.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: October 30, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Andrew Nguyen, Amir Al-Bayati, Biagio Gallo
  • Publication number: 20070248767
    Abstract: A method of self-cleaning a plasma reactor upon depositing a carbon-based film on a substrate a pre-selected number of times, includes: (i) exciting oxygen gas and/or nitrogen oxide gas to generate a plasma; and (ii) exposing to the plasma a carbon-based film accumulated on an upper electrode provided in the reactor and a carbon-based film accumulated on an inner wall of the reactor.
    Type: Application
    Filed: April 6, 2007
    Publication date: October 25, 2007
    Applicant: ASM JAPAN K.K.
    Inventors: Seiji Okura, Yukihiro Mori
  • Patent number: 7279428
    Abstract: A method to prevent photoresist residues formed in an aperture is provided. The method includes using a halogen-containing plasma treatment before the aperture is filled with a photoresist. Due to the halogen-containing plasma treatment, amine components on the sidewalls of a via or contact hole or trench opening can be efficiently removed. Accordingly, photoresist residues or via poison can be avoided.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: October 9, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shang Wei Lin, Hung Chang Hsieh
  • Patent number: 7270761
    Abstract: A fluorine-free integrated process for plasma etching aluminum lines in an integrated circuit structure including an overlying anti-reflection coating (ARC) and a dielectric layer underlying the aluminum, the process being preferably performed in a single plasma reactor. The ARC open uses either BCl3/Cl2 or Cl2 and possibly a hydrocarbon passivating gas, preferably C2H4. The aluminum main etch preferably includes BCl3/Cl2 etch and C2H4 diluted with He. The dilution is particularly effective for small flow rates of C2H4. An over etch into the Ti/TiN barrier layer and part way into the underlying dielectric may use a chemistry similar to the main etch. A Cl2/O2 chamber cleaning may be performed, preferably with the wafer removed from the chamber and after every wafer cycle.
    Type: Grant
    Filed: October 18, 2002
    Date of Patent: September 18, 2007
    Assignee: Appleid Materials, Inc
    Inventors: Xikun Wang, Hui Chen, Anbei Jiang, Hong Shih, Steve S. Y. Mak
  • Patent number: 7267842
    Abstract: A process for the selective removal of a TiO2-containing substance from an article for cleaning applications is disclosed herein. In one embodiment, there is provided a process for removing a TiO2-containing substance from an article comprising: providing the article having the TiO2-containing substance deposited thereupon; reacting the substance with a reactive gas comprising at least one selected from a fluorine-containing cleaning agent, a chlorine-containing cleaning agent and mixtures thereof to form a volatile product; and removing the volatile product from the article to thereby remove the substance from the article.
    Type: Grant
    Filed: March 15, 2004
    Date of Patent: September 11, 2007
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Dingjun Wu, Bing Ji, Philip Bruce Henderson, Eugene Joseph Karwacki, Jr.
  • Publication number: 20070186857
    Abstract: Example embodiments relate to an apparatus and method for manufacturing a semiconductor device. Other example embodiments relate to a plasma processing apparatus having an in-situ cleaning function and a method of using the same. The plasma processing apparatus may include an outer chamber, an inner chamber installed in the outer chamber, a gas supply unit for supplying a process gas or a cleaning gas into the inner chamber, an electrode positioned in the inner chamber, an electrode plasma power supply for applying power to the electrode, a first flexible member connecting the inner chamber and the outer chamber and having a first connector therein electrically connected to the inner chamber and/or a first chamber plasma power supply connected to the first connector and applying power to the inner chamber through the first connector.
    Type: Application
    Filed: February 1, 2007
    Publication date: August 16, 2007
    Inventors: Ju-Youn Kim, Seok-Jun Won, Weon-Hong Kim
  • Patent number: 7255772
    Abstract: A high pressure chamber comprises a chamber housing, a platen, and a mechanical drive mechanism. The chamber housing comprises a first sealing surface. The platen comprises a region for holding the semiconductor substrate and a second sealing surface. The mechanical drive mechanism couples the platen to the chamber housing. In operation, the mechanical drive mechanism separates the platen from the chamber housing for loading of the semiconductor substrate. In further operation, the mechanical drive mechanism causes the second sealing surface of the platen and the first sealing surface of the chamber housing to form a high pressure processing chamber around the semiconductor substrate.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: August 14, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian A. Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 7252773
    Abstract: One aspect of the invention relates to a method of cleaning high density capacitors. According to the method, the capacitors are cleaned with a plasma that includes fluorine-containing radicals. The plasma removes a small layer from the capacitors, including their sidewalls, and thereby removes surface contaminants. The method is effective even when the capacitors include hard-to-etch dielectric materials, such as tantalum and hafnium oxides. In a preferred embodiment, the plasma clean is combined with a solvent clean.
    Type: Grant
    Filed: October 11, 2002
    Date of Patent: August 7, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Lindsey H. Hall
  • Patent number: 7244681
    Abstract: A process and apparatus for locally removing any material, such as a refractory metal, in particular tungsten, from any desired area of a wafer, such as an alignment mark area of a silicon wafer in process during the formation of integrated circuits thereon.
    Type: Grant
    Filed: August 25, 2003
    Date of Patent: July 17, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Renee Zahorik, legal representative, Guy F. Hudson, Hugh E. Stroupe, Todd A. Dobson, Brian F. Gordon, Russell C. Zahorik, deceased
  • Patent number: 7244313
    Abstract: A plasma etch process includes a plasma etch step performed with a photoresist mask on a workpiece using a polymerizing etch process gas that produces in the plasma polymerizing species which accumulate as a protective polymer layer on the surface of said photoresist mask during the etch step, the process including the following steps performed in the same chamber after the etch step and prior to removing the photoresist mask: (a) removing residue of the type including polymer material from chamber surfaces including a ceiling of said chamber, by coupling RF plasma source power into the chamber while coupling substantially no RF plasma bias power into the chamber, and introducing a hydrogen-containing gas into the chamber, until said residue is removed from the chamber surfaces; (b) removing the protective polymer layer from the surface of the photoresist mask, by coupling RF plasma bias power into the chamber while coupling substantially no RF plasma source power into the chamber, and introducing into the
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: July 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Gerardo A. Delgadino, Chang-Lin (Peter) Hsieh
  • Publication number: 20070144557
    Abstract: A dry cleaning method for an apparatus for depositing a thin film that deposits an Al-containing metal film and an Al-containing metal nitride film is provided. The method includes maintaining a temperature inside of chamber of the apparatus for depositing a thin film at 430° C. or higher and cleaning the inside of the chamber by supplying a cleaning gas including Cl2 into the chamber. When it is difficult to maintain the temperature inside the chamber at 430° C. or higher, the method includes cleaning the inside of the chamber by using a cleaning gas including Cl2 plasma. Accordingly, the apparatus for depositing the thin film that deposits a titanium aluminum nitride (TiAlN) film and a similar type thin film can be effectively cleaned without having remaining products and particles.
    Type: Application
    Filed: August 22, 2006
    Publication date: June 28, 2007
    Inventors: Ki-Hoon Lee, Sang-Jin Lee, Tae-Wook Seo
  • Patent number: 7235492
    Abstract: In one embodiment of the invention, a method for finishing or treating a silicon-containing surface is provided which includes removing contaminants and/or smoothing the surface contained on the surface by a slow etch process (e.g., about <100 ?/min). The silicon-containing surface is exposed to an etching gas that contains an etchant, a silicon source and a carrier gas. Preferably, the etchant is chlorine gas so that a relatively low temperature (e.g., <800° C.) is used during etching or smoothing processes. In another embodiment of the invention, a method for etching a silicon-containing surface during a fast etch process (e.g., about >100 ?/min) is provided which includes removing silicon-containing material to form a recess in a source/drain (S/D) area on the substrate. The silicon-containing surface is exposed to an etching gas that contains an etchant, preferably chlorine, a carrier gas and an optional silicon source.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: June 26, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Arkadii V. Samoilov
  • Patent number: 7234476
    Abstract: A method of remote plasma cleaning a processing chamber of CVD equipment, which has high cleaning rates, low cleaning operational cost and high efficiency, is provided. The method comprises supplying cleaning gas to the remote plasma-discharge device; activating the cleaning gas inside the remote plasma-discharge device; and bringing the activated cleaning gas into the processing chamber and which is characterized in that a mixed gas of F2 gas and an inert gas are used as the cleaning gas. A concentration of the F2 gas is 10% or higher. The F2 gas, which is a cleaning gas, is supplied to the remote plasma-discharge device from an F2 gas cylinder by diluting F2 gas at a given concentration by an inert gas.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: June 26, 2007
    Assignee: ASM Japan K.K.
    Inventors: Hirofumi Arai, Hideaki Fukuda
  • Patent number: 7235516
    Abstract: A substrate surface cleaning liquid medium and a cleaning method using the cleaning liquid medium are capable of removing finely particulate contaminants more efficiently than conventional techniques from substrates for devices in the production of semiconductor devices, display devices, etc., which cleaning liquid medium contains the following ingredients (A), (B), (C), and (D), has a pH of 9 or higher, and a content of ingredient (C) of 0.01 to 4% by weight: (A) an ethylene oxide addition type surfactant which has an optionally substituted hydrocarbon group and a polyoxyethylene group in the same molecular structure and in which the ratio of the number of carbon atoms contained in the hydrocarbon group (m) to the number of oxyethylene groups in the polyoxyethylene group (n), m/n, is m/n?1.5, (B) an alkali ingredient, (C) hydrogen peroxide, and (D) water.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: June 26, 2007
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Hitoshi Morinaga, Hideaki Mochizuki, Atsushi Itou
  • Patent number: 7232492
    Abstract: There is provided a method of forming a thin film for providing improved fabrication productivity. The method includes introducing a semiconductor substrate into a process chamber. A process thin film is formed on the semiconductor substrate, in which a chamber coating layer is formed on inner walls of the process chamber while the process thin film is formed. The semiconductor substrate is removed from the process chamber. A stress relief layer is formed on the chamber coating layer. After all of the above operations are repeatedly performed at least one time, an in-situ cleaning is performed on the chamber coating layer and the stress relief layer, which are alternately formed in stack on the inner walls of the process chamber.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: June 19, 2007
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Seok-Jun Won, Weon-Hong Kim, Dae-Jin Kwon
  • Patent number: 7228865
    Abstract: An embodiment of the invention is a method of cleaning a material stack 2 that has a hard mask top layer 8. The method involves cleaning the material stack 2 with a fluorine-based plasma etch. The method further involves rinsing the material stack 2 with a wet clean process.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: June 12, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Lindsey H. Hall, Scott R. Summerfelt
  • Patent number: 7223446
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: May 29, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsuhiro Ichijo
  • Patent number: 7217941
    Abstract: A system is disclosed for protecting an internal EUV light source component from ions generated at a plasma formation site. In one aspect, the system may comprise a plurality of foil plates and an arrangement for generating a magnetic field to deflect ions into one of the foil plate surfaces. In another aspect, an electrostatic grid may be positioned for interaction with ions to reduce ion energy, and a magnetic field may be used to deflect the reduced energy ions onto paths wherein the ions do not strike the internal component. In yet another aspect, a grid may be connected to a circuit tuned to a resonant frequency to reduce ion energy. For example, the resonant frequency may be substantially equal to an inverse of a time difference between the time when electrons reach the grid and a subsequent time when ions reach the grid.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: May 15, 2007
    Assignee: Cymer, Inc.
    Inventors: Curtis L. Rettig, Jerzy R. Hoffman, Ernesto L. Vargas
  • Patent number: 7207339
    Abstract: A method for plasma cleaning a CVD reactor chamber including providing a plasma enhanced CVD reactor chamber comprising residual deposited material; performing a first plasma process comprising an oxygen containing plasma; performing a second plasma process comprising an argon containing plasma; and, performing a third plasma process comprising a fluorine containing plasma.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: April 24, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sheng-Wen Chen, Shiu-Ko Jangjian, Hung-Jui Chang, Ying-Lang Wang
  • Patent number: 7204935
    Abstract: A method of etching a metallic film on a substrate. This method operates to inject an oxidizing agent through the use of a carrier gas to etch a source metal in the presence of a reducing agent such that the rate of etching can be controlled by controlling the flow rate of the carrier gas, the substrate temperature, the pulse widths of the oxidizing and reducing agents, and the number of etching phases.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: April 17, 2007
    Assignee: Oregon Health & Science University
    Inventors: Rajendra Solanki, Balu Pathangey