Plasma Cleaning Patents (Class 134/1.1)
  • Publication number: 20090246399
    Abstract: A method of continuously forming carbon-based films on substrates includes: (i) forming a carbon-based film on a substrate in a reactor a pre-selected number of times; (ii) exciting an inert gas, an oxygen gas, and a nitrogen tri-fluoride gas to generate a plasma for cleaning; (iii) cleaning an inside of the reactor with the plasma after step (i) to remove particles accumulated during step (i) on the inside of the reactor.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 1, 2009
    Applicant: ASM JAPAN K.K.
    Inventors: Kamal Kishore Goundar, Yamaguchi Masashi
  • Patent number: 7595096
    Abstract: A method of manufacturing vacuum plasma treated workpieces includes the steps of introducing at least one workpiece to be treated into a vacuum chamber; treating the workpiece in the vacuum chamber, thereby establishing a plasma discharge in the vacuum chamber by a supply signal with maximum energy at a first frequency which is at least in the Hf frequency range; removing the workpiece treated from the vacuum chamber; performing a cleaning inside the vacuum chamber, thereby establishing the plasma discharge by a supply signal with maximum energy at a second frequency higher than the Hf frequency; and repeating these steps at least one time.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: September 29, 2009
    Assignee: OC Oerlikon Balzers AG
    Inventors: Mustapha Elyaakoubi, Phannara Aing, Rainer Ostermann, Klaus Neubeck, Benoit Riou
  • Patent number: 7588036
    Abstract: A process for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber. According to one embodiment the process comprises performing a substrate processing operation on the substrate within the substrate processing chamber and then transferring the substrate out of the substrate processing chamber; flowing a first etchant gas into a remote plasma source, forming reactive species from the etchant gas and transporting the reactive species into the substrate processing chamber to remove a first portion of the unwanted deposition build-up; and thereafter, flowing a second etchant gas into the substrate processing chamber and forming a plasma within the substrate processing chamber from the second gas in order to remove a second portion of the unwanted deposition build-up.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: September 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Michael S. Cox, Canfeng Lai, Paddy Krishnaraj
  • Publication number: 20090223538
    Abstract: The invention relates to a method for cleaning turbine blades, for example, in a cleaning chamber into which a process gas containing especially fluoride ions is introduced. According to the inventive method, contaminated process gas is directed into an analysis chamber where a plasma is ignited and is analyzed using emission spectroscopy in order to monitor the process, particularly to determine the conditions for stopping the process. The spectrometric measurement can be evaluated in an evaluation unit, the cleaning process being stopped via signal line in case of a characteristic change of the spectrum. Also disclosed is a cleaning device comprising an analysis apparatus with a sample chamber and a plasma generator, an interface being provided for evaluating the result of the analysis.
    Type: Application
    Filed: November 29, 2005
    Publication date: September 10, 2009
    Inventors: Ursus Krüger, Uwe Pyritz, Heike Schiewe, Raymond Ullrich
  • Patent number: 7581550
    Abstract: A method of cleaning a reaction chamber using a substrate having a metal catalyst thereon is disclosed. The method includes preparing a substrate having a catalyst layer to activate a cleaning gas. The substrate is introduced into the reaction chamber. Next, a cleaning gas is introduced into the reaction chamber. Contaminations in the reaction chamber are exhausted. The substrate having a metal catalyst layer is also disclosed.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 1, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-Jun Won, Weon-Hong Kim, Min-Woo Song
  • Patent number: 7578301
    Abstract: A method of determining an endpoint of a process by measuring a thickness of a layer, the layer being deposited on the surface by a prior process is disclosed. The method includes providing a sensor that is coplanar with the surface, wherein the sensor is configured to measure the thickness. The method also includes exposing the plasma chamber to a plasma, wherein the thickness is changed by the exposing, and determining the thickness as a function of time. The method further includes ascertaining a steady state condition in the thickness, the steady state condition being characterized by a substantially stable measurement of the thickness, a start of the steady state condition representing the endpoint.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: August 25, 2009
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Douglas Keil, Alexei Marakhtanov
  • Publication number: 20090205678
    Abstract: A deposit removing method that can reliably remove deposit produced in plasma processing using plasma produced from a process gas containing methane gas and oxygen gas. In a chamber in which an electrode to which radio frequency electrical power is supplied is disposed, plasma processing is carried out on a substrate using the plasma produced from the process gas containing methane gas and oxygen gas, and then a cleaning step is carried out in which plasma is produced from a mixed gas containing fluorinated compound gas containing hydrogen in the chamber.
    Type: Application
    Filed: February 19, 2009
    Publication date: August 20, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Sungtae LEE, Yusuke Nakagawa, Jun Yashiro
  • Patent number: 7575007
    Abstract: A chamber dry cleaning process particularly useful after a dielectric plasma etch process which exposes an underlying copper metallization. After the dielectric etch process, the production wafer is removed from the chamber and a cleaning gas is excited into a plasma to clean the chamber walls and recover the dielectric etching characteristic of the chamber. Preferably, the cleaning gas is reducing such as hydrogen gas with the addition of nitrogen gas. Alternatively, the cleaning gas may an oxidizing gas. If the wafer pedestal is vacant during the cleaning, it is not electrically biased. If a dummy wafer is placed on the pedestal during cleaning, the pedestal is biased. The cleaning process is advantageously performed every wafer cycle.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: August 18, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Hairong Tang, Xiaoye Zhao, Keiji Horioka, Jeremiah T. P. Pender
  • Patent number: 7572736
    Abstract: A system, method and product of dry-etching a semiconductor device are disclosed, the system having a material supply for forming a material layer on the semiconductor substrate, a pattern for disposing at least one photoresist pattern on the material layer, a dry-etching chamber for housing a dry-etching process of the material layer, a chiller for adjusting the temperature of the chamber, the semiconductor substrate, the material layer and/or the photoresist for the dry-etching process, a stage for loading the semiconductor substrate in the dry-etching chamber, and a dry-etchant supply for dry-etching the material layer while the integrity of the photoresist pattern is enhanced by the adjusted temperature.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: August 11, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Sook Chae, Ji-Soo Kim, Chang-jin Kang
  • Patent number: 7569256
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: April 12, 2007
    Date of Patent: August 4, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Mitsuhiro Ichijo
  • Patent number: 7568489
    Abstract: Impurities can be eluted simultaneously from a plurality of local areas of a surface layer of a semiconductor substrate. A supporting unit supports the substrate, and a sample plate is disposed on the surface of the substrate. The sample plate has a plurality of holes that expose the local areas of the surface of the substrate. Eluant is provided onto the local areas of the surface layer of the substrate through the holes in the sample plate. The impurities are thus dissolved by the eluant to produce a sample. A nozzle transfers the sample from the local areas of the surface of the substrate to a plurality of sample cups. Therefore, samples from the surface layer of the substrate may be produced in a short amount of time.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: August 4, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Jae Lee, Bok-Soon Ko
  • Patent number: 7569111
    Abstract: A process for cleaning a deposition chamber. The process includes feeding a fluorine-containing gas into the deposition chamber; maintaining the fluorine-containing gas in the deposition chamber at a first pressure; providing RF power to ignite plasma of the fluorine-containing gas within the deposition chamber; keeping the deposition chamber at a first temperature for a time period with the presence of the plasma; turning off the RF power to cease the plasma; and feeding a remote plasma containing free fluorine from a remote plasma source into the deposition chamber, without evacuating the deposition chamber, at the first temperature to clean interior surfaces of the deposition chamber.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: August 4, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Hsin Lai, Chun-Yi Wang
  • Publication number: 20090188526
    Abstract: A process of cleaning a semiconductor manufacturing system, and a method of manufacturing a semiconductor device. The cleaning process includes, for example, positioning a ceramic cover on the electrostatic chuck in tight contact with the chuck, and feeding a fluoride-based cleaning gas into a chamber. After the cleaning process, a process of forming a semiconductor film (deposition process) is performed. It is possible to prevent fluorine degasification from a substrate-supporting electrode (electrostatic chuck) during the deposition process. A semiconductor film can be formed without causing a temperature drop near the substrate. This prevents irregular film thickness, defective etching, film flaking, etc.
    Type: Application
    Filed: March 31, 2009
    Publication date: July 30, 2009
    Inventor: Hiroomi Tsutae
  • Publication number: 20090188525
    Abstract: An apparatus for the plasma treatment of molds (2), in particular for contact lens molds, comprises a treatment chamber (50), in which a first electrode (51) is arranged facing a carrier (1;4) for carrying the molds (2) to be treated. The carrier (1;4) forms the second electrode (52) and comprises a first metal plate (10;40) having holes (100;400) therein and a second metal plate (11;41) which is arranged spaced apart from the first metal plate (10;40), and which is connected to the first metal plate (10;40) in an electrically conductive manner (12,13;43). The molds (2) are arranged on the second metal plate (11;41) with their molding surfaces (210) facing towards the first electrode (51) and are exposable to plasma through the holes (100;400) in the first metal plate (10;40).
    Type: Application
    Filed: January 21, 2009
    Publication date: July 30, 2009
    Inventors: Gabriela Cocora, Axel Heinrich, Peter Hagmann
  • Publication number: 20090188524
    Abstract: An automatic method (100) of in-situ cleaning a processing system (211) including a process chamber (213) pumped by a roughing pump (219) and a turbomolecular pump (217) includes the steps of automatically performing a first RF plasma clean (110) (referred to herein as a chamber clean) to clean the process chamber, wherein the turbomolecular pump (217) is isolated and the roughing pump (219) pumps the processing chamber (213). The turbomolecular pump (217) is automatically switched on to pump the processing chamber (213). While the turbomolecular pump is pumping the processing chamber (213), a second RF plasma clean (115) (referred to herein as an automatic turbo clean) is performed clean the turbomolecular pump (217). In embodiments of the invention the turbo clean (115) automatically sets at least one gas flow, an RF power, and a pressure in the chamber (213).
    Type: Application
    Filed: January 30, 2008
    Publication date: July 30, 2009
    Inventors: Jason J. New, Antonio Ibarra-Rivera, Joe M. Bockemehl, JR.
  • Patent number: 7559992
    Abstract: A semiconductor processing apparatus includes a process chamber to accommodate a target substrate, a gas supply system to supply a process gas into the process chamber, an exhaust unit to exhaust the process chamber, and an exhaust line connecting the process chamber to the exhaust unit. An opening variable valve is disposed on the exhaust line, and an inactive gas line is connected to the exhaust line on an upstream side of the opening variable valve to introduce an inactive gas. A pressure control mechanism is configured to control a pressure in the process chamber by adjusting at least one of an opening ratio of the opening variable valve and a flow rate of the inactive gas during a process in the process chamber while causing the exhaust unit to exhaust the process chamber and introducing the inactive gas from the inactive gas line into the exhaust line.
    Type: Grant
    Filed: December 19, 2005
    Date of Patent: July 14, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Daisuke Suzuki, Masayuki Hasegawa, Atsushi Endo
  • Publication number: 20090173360
    Abstract: A lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate is disclosed. The apparatus includes a first radiation dose detector and a second radiation dose detector, each detector comprising a secondary electron emission surface configured to receive a radiation flux and to emit secondary electrons due to the receipt of the radiation flux, the first radiation dose detector located upstream with respect to the second radiation dose detector viewed with respect to a direction of radiation transmission, and a meter, connected to each detector, to detect a current or voltage resulting from the secondary electron emission from the respective electron emission surface.
    Type: Application
    Filed: March 17, 2009
    Publication date: July 9, 2009
    Applicants: ASML Netherlands B.V., Carl Zeiss SMT AG
    Inventors: Maarten Marinus Johannes Wilhelmus VAN HERPEN, Vadim Yevgenyevich BANINE, Johannes Peterus Henricus DE KUSTER, Johannes Hubertus Josephina MOORS, Lucas Henricus Johannes STEVENS, Bastiaan Theodoor WOLSCHRIJN, Yurii Victorovitch SIDELNIKOV, Marc Hubertus Lorenz VAN DER VELDEN, Wouter Anthon SOER, Thomas STEIN, Kurt Gielissen
  • Publication number: 20090173359
    Abstract: The present invention provides a method for in-situ cleaning of walls of a reaction chamber, e.g. reactive ion etching chamber, to remove contamination, e.g. copper comprising contamination from the walls. The method comprises converting the contamination, e.g. copper comprising contamination into a halide compound, e.g. copper halide compound and exposing the halide compound, e.g. copper halide compound to a photon comprising ambient, thereby initiating formation of volatile halide products, e.g. volatile copper halide products. The method furthermore comprises removing the volatile halide products, e.g. volatile copper halide products from the reaction chamber to avoid saturation of the volatile halide products, e.g. volatile copper halide products in the reaction chamber in order to avoid re-deposition of the volatile halide products, e.g. volatile copper halide products to the walls of the reaction chamber.
    Type: Application
    Filed: June 27, 2008
    Publication date: July 9, 2009
    Applicant: Interuniversitair Microelektronica Centrum vzw (IMEC)
    Inventor: Dries Dictus
  • Publication number: 20090165815
    Abstract: A plasma clean tool that includes a cleaning chamber for cleaning an article by plasma cleaning and a charge shield for surrounding an article to be cleaned is presented. The charge shield prevents charged components of plasma from passing therethrough to charge the article during plasma cleaning of the article.
    Type: Application
    Filed: December 3, 2008
    Publication date: July 2, 2009
    Applicant: UNITED TEST AND ASSEMBLY CENTER LTD.
    Inventors: Debbie Tuerca ALCALA, Hendri Yanto KWEE, Michael TI-IN, Kian Teng ENG, Rodel MANALAC, Jimmy SIAT
  • Patent number: 7552736
    Abstract: A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving at least a peripheral annular portion of the backside exposed. The process further includes confining gas flow at the edge of the workpiece within a gap at the edge of the workpiece on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the wafer front side and a lower process zone containing the wafer backside. The process also includes providing a polymer etch precursor gas underneath the backside edge of the workpiece and applying RF power to a region underlying the backside edge of the workpiece to generate a first plasma of polymer etch species concentrated in an annular ring concentric with and underneath the backside edge of the workpiece.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: June 30, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Andrew Nguyen, Ajit Balakrishna, David Palagashvili, James P. Cruse, Jennifer Y. Sun, Valentin N. Todorov, Shahid Rauf, Kartik Ramaswamy, Gerhard M. Schneider, Imad Yousif, Martin Jeffrey Salinas
  • Publication number: 20090159104
    Abstract: A substrate processing chamber for processing substrates such as semiconductor wafers, flat panel substrate, solar panels, etc., includes mechanism for in-situ plasma clean. The chamber body has at least one plasma source opening provided on its sidewall. A movable substrate holder is situated within the chamber body, the substrate holder assumes a first position wherein the substrate is positioned below the plasma source opening for in-situ plasma cleaning of the chamber, and a second position wherein the substrate is positioned above the plasma source opening for substrate processing. A plasma energy source is coupled to the plasma source opening.
    Type: Application
    Filed: December 19, 2007
    Publication date: June 25, 2009
    Inventors: Judy Huang, Michael S. Barnes, Terry Bluck
  • Publication number: 20090161719
    Abstract: An evaporation apparatus for evaporating a material to be deposited is described. The evaporation apparatus includes at least one evaporation crucible having a body with an area for receiving the material to be deposited at one side; a linear electron source being positioned adjacent to the evaporation crucible for impingement of an electron beam on another side. The linear electron source includes a housing acting as a first electrode, the housing having side walls; slit opening in the housing for trespassing of a electron beam, the slit opening defining a length direction of the source; a second electrode being arranged within the housing and having a first side facing the slit opening; and at least one gas supply for providing a gas into the housing, wherein the first electrode is the anode and the second electrode is the cathode.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 25, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Guenter Klemm, Volker Hacker, Hans-Georg Lotz
  • Patent number: 7550090
    Abstract: A method for in-situ cleaning of a dielectric dome surface having been used in pre-clean processes is provided. Carbon containing deposits are removed by providing a plasma of one or more oxidizing gases which react with the carbon containing films to form volatile carbon containing compounds.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: June 23, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Quancheng Gu, Cheng-Hsiung Tsai, John C. Forster, Xiaoxi Guo, Larry Frazier
  • Publication number: 20090155488
    Abstract: Methods and apparatuses for plasma chemical vapor deposition (CVD). In particular, a plasma CVD apparatus having a cleaning function, has an improved shower plate with holes having a uniform cross-sectional area to yield a high cleaning rate. The shower plate may serve as an electrode, and may have an electrically conductive extension connected to a power source. The shower plate, through which both cleaning gases and reaction source gases flow, may include a hole machined surface area with a size different than conventionally used to ensure a good film thickness uniformity during a deposition process. The size of the hole machined surface area may vary based on the size of a substrate to be processed, or the size of the entire surface of the shower plate.
    Type: Application
    Filed: December 18, 2007
    Publication date: June 18, 2009
    Applicant: ASM JAPAN K.K.
    Inventors: Ryu Nakano, Hideaki Fukuda
  • Publication number: 20090142513
    Abstract: A treatment gas is supplied to form a Ti-based film on a predetermined number of wafers W while setting a temperature of a susceptor 2 in a chamber 1 to a predetermined temperature. After this, the interior of the chamber 1 containing no wafers W is cleaned by discharging Cl2 gas as a cleaning gas from a shower head 10 into the chamber 1. During this cleaning, the temperature of each of the susceptor 2, the shower head 10, and the wall portion of the chamber 1 is independently controlled so that the temperature of the susceptor 2 is not lower than the decomposition start temperature of Cl2 gas and the temperature of the shower head 10 and the wall portion of the chamber 1 is not higher than the decomposition start temperature.
    Type: Application
    Filed: January 12, 2009
    Publication date: June 4, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Seishi MURAKAMI, Masaki Koizumi, Hiroaki Ashizawa, Masato Koizumi
  • Publication number: 20090139540
    Abstract: A component from a substrate processing chamber which has plasma process residues on both its internal and external surfaces, is removed from the processing chamber, and transferred to a cleaning chamber. The component is exposed to an energized cleaning gas in the cleaning chamber, and the cleaning gas is exhausted from below the component so that the cleaning gas cleans off the residues on both the internal and external surfaces of the component. It has been determined that the cleaning gas can also repair surface defects in the component.
    Type: Application
    Filed: November 30, 2007
    Publication date: June 4, 2009
    Applicant: Applied Materials, Inc.
    Inventor: Wesley George Lau
  • Patent number: 7541597
    Abstract: The invention relates to the automatic cleaning of ion sources inside mass spectrometers, especially the cleaning of ion sources where the ions are generated by matrix-assisted laser desorption (MALDI). The invention consists in cleaning the electrodes of the ion source, which are contaminated with organic material, in the mass spectrometer itself by etching with reactive ions produced by an electrically generated gas discharge in a specially admitted reactant gas.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: June 2, 2009
    Assignee: Bruker Daltonik, GmbH
    Inventors: Armin Holle, Gregor Przybyla
  • Publication number: 20090133714
    Abstract: A method for surface treating a substrate includes supplying first plasma generated by using nitrogen gas and oxygen gas toward a substrate surface to surface treat the substrate surface in air. In the method, a volume ratio of the oxygen gas to a total supply of the nitrogen gas and the oxygen gas is smaller than a volume ratio of oxygen contained in air.
    Type: Application
    Filed: November 13, 2008
    Publication date: May 28, 2009
    Applicant: SEIKO EPSON CORPORATION
    Inventors: Tadashi YAMAZAKI, Mitsuru KASAI
  • Patent number: 7534469
    Abstract: A CVD apparatus comprising an optical unit detecting the mass of contaminants adhering to an inner surface of a CVD reactor by irradiating an inner surface of the reactor with light having monochromaticity through an optical window provided on an inner wall of the reactor and receiving its reflected light is provided.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: May 19, 2009
    Assignee: ASM Japan K.K.
    Inventors: Kiyoshi Satoh, Yoshinobu Kano
  • Patent number: 7531819
    Abstract: A deposit cleaning system for removing deposits from interior surfaces of ion sources and/or electrodes includes a fluorine source, a throttle mechanism, and a controller. The fluorine source supplies fluorine to the ion source as a cleaning material. The throttle mechanism mitigates loss of fluorine through a source aperture of the ion source by at least partially covering the source aperture. The controller controls the supply and flow rate from the fluorine source to the ion source and also controls the positioning of the throttle mechanism.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: May 12, 2009
    Assignee: Axcelis Technologies, Inc.
    Inventors: William F. DiVergilio, Daniel R. Tieger, William P. Reynolds, Christopher W. Hodgdon, Sean Joyce
  • Patent number: 7531047
    Abstract: The present disclosure provides a method of cleaning a semiconductor substrate after a DRIE etch process, wherein residue from the DRIE process is removed without damaging the substrate. The process may include contacting the micro-fluid ejection head with an aqueous solution of TMAH, stripping a photoresist etch mask from the micro-fluid ejection head, and dissolving a passivating coating from the substrate. Then the substrate may be contacted with an acidic solution. The method may further include rinsing and drying the substrate.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: May 12, 2009
    Assignee: Lexmark International, Inc.
    Inventors: Paul William Dryer, James Michael Mrvos, David Bruce Rhine
  • Patent number: 7530359
    Abstract: A plasma treatment apparatus has a reaction vessel (11) provided with a top electrode (13) and a bottom electrode (14), and the first electrode is supplied with a VHF band high frequency power from a VHF band high frequency power source (32), while the bottom electrode on which a substrate (12) is loaded and is moved by a vertical movement mechanism. The plasma treatment system has a controller (36) which, at the time of a cleaning process after forming a film on the substrate (12), controls a vertical movement mechanism to move the bottom electrode to narrow the gap between the top electrode and bottom electrode and form a narrow space and starts cleaning by a predetermined high density plasma in that narrow space. In the cleaning process, step cleaning is performed. Due to this, the efficiency of utilization of the cleaning gas is increased, the amount of exhaust gas is cut, and the cleaning speed is raised. Further, the amount of the process gas used is cut and the process cost is reduced.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: May 12, 2009
    Assignees: Canon Anelva Corporation, Sanyo Electric Co., Ltd., Renesas Technology Corporation, Matsushita Electric Industrial Co., Ltd., Ulvac, Inc., Hitachi Kokusai Electric Inc., Tokyo Electron Limited, Kanto Denka Kogyo Co., Ltd.
    Inventors: Yoichiro Numasawa, Yoshimi Watabe
  • Publication number: 20090114245
    Abstract: An in-situ chamber cleaning method is performed in a chamber having a gas-distributing member, wherein the gas-distributing member comprises a plurality of apertures. A cleaning gas flow is provided through some of the apertures into the chamber while no cleaning gas flow is provided through the remaining apertures. The cleaning gas flow is ionized such that ionized cleaning gas radicals are used to clean the chamber.
    Type: Application
    Filed: November 2, 2007
    Publication date: May 7, 2009
    Inventor: Hidehiro Kojiri
  • Publication number: 20090114244
    Abstract: The embodiments provide structures and mechanisms for removal of etch byproducts, dielectric films and metal films on and near the substrate bevel edge, and chamber interior to avoid the accumulation of polymer byproduct and deposited films and to improve process yield. In an exemplary embodiment, a plasma processing chamber configured to clean a bevel edge of a substrate is provided. The plasma processing chamber includes a bottom electrode configured to receive the substrate, wherein the bottom electrode is coupled to a radio frequency (RF) power supply. The plasma processing chamber also includes a top edge electrode surrounding an insulating plate opposing the bottom electrode. The top edge electrode is electrically grounded. The plasma processing chamber further includes a bottom edge electrode surrounding the bottom electrode. The bottom edge electrode opposes the top edge electrode.
    Type: Application
    Filed: June 5, 2007
    Publication date: May 7, 2009
    Inventors: Gregory S. Sexton, Andrew D. Bailey, III, Andras Kuthi
  • Patent number: 7527695
    Abstract: To provide a method and apparatus for cleaning a substrate to effectively remove an organic type or metallic type contaminant from a to-be-cleaned surface of a substrate by an increase in the intensity of UV light at the to-be-cleaned surface of the substrate and by an increase in the concentration of ozone O3, excited state oxygen atoms O(1D) and active oxygen.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: May 5, 2009
    Assignee: Asahi Glass Company, Limited
    Inventor: Yoshiaki Ikuta
  • Publication number: 20090108413
    Abstract: This invention provides an interlayer insulating film for a semiconductor device, which has low permittivity, is free from the evolution of gas such as CFx and SiF4 and is stable, and a wiring structure comprising the same. In an interlayer insulating film comprising an insulating film provided on a substrate layer, the interlayer insulating film has an effective permittivity of not more than 3. The wiring structure comprises an interlayer insulating film, a contact hole provided in the interlayer insulating film, and a metal filled into the contact hole. The insulating film comprises a first fluorocarbon film provided on the substrate layer and a second fluorocarbon film provided on the first fluorocarbon film.
    Type: Application
    Filed: June 20, 2006
    Publication date: April 30, 2009
    Applicants: TOHOKU UNIVERSITY, FOUNDATION FOR ADVANCEMENT OF INTERNATIONAL SCIENC
    Inventor: Tadahiro Ohmi
  • Publication number: 20090104376
    Abstract: Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. At least one of the gas passages has a right cylindrical shape for a portion of its length extending from the upstream side and a coaxial conical shape for the remainder length of the diffuser plate, the upstream end of the conical portion having substantially the same diameter as the right cylindrical portion and the downstream end of the conical portion having a larger diameter. The gas distribution plate is relatively easy to manufacture and provides good chamber cleaning rate, good thin film deposition uniformity and good thin film deposition rate.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 23, 2009
    Inventors: SOO YOUNG CHOI, John M. White, Robert I. Greene
  • Publication number: 20090100616
    Abstract: A method and device for cleaning and decontaminating contaminated plastics, such as, for example, RPET or similar polymers, which have been crushed to flakes, where an ionized gas flows around the flakes.
    Type: Application
    Filed: December 8, 2005
    Publication date: April 23, 2009
    Applicant: KRONES AG
    Inventor: Arne Haase
  • Patent number: 7518128
    Abstract: A cleaning arrangement is provided for use in an EUV lithographic apparatus, for example an EUV lithographic apparatus with a Sn source. The cleaning arrangement includes a gas source for a hydrogen containing gas and a hydrogen radical source. The hydrogen radical source is a source of (UV) radiation which induces photo dissociation of the hydrogen. Radicals may reduce Sn oxides (if present) and my form volatile hydrides of Sn deposition and/or carbon deposition. In this way the cleaning arrangement can be used to clean optical elements from Sn and/or C deposition. The EUV source may be used as hydrogen radical source. An optical filter is used to remove undesired EUV radiation and transmit desired UV radiation.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: April 14, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Derk Jan Wilfred Klunder
  • Patent number: 7517802
    Abstract: A method of reducing foreign material concentrations in an etch chamber having inner chamber walls is described. The method includes the step of etching a work piece in the etch chamber such that reaction products from the work piece having one or more elements form a first layer of reaction products that partially adhere to the inner chamber walls. A species is introduced into the etch chamber that increases the adhesion of the first layer of reaction products to the inner chamber walls.
    Type: Grant
    Filed: October 17, 2006
    Date of Patent: April 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Edward Crandal Cooney, III, Anthony Kendall Stamper
  • Publication number: 20090090384
    Abstract: Provided is a cleaning method of an apparatus for depositing a metal containing film using a metal organic (MO) source. A fluorine (F)-containing gas and a carbon (C)-eliminating gas are supplied to a reactor of the apparatus so that in-situ cleaning can be performed. A solid by-product is not generated in the method, and after a predetermined quantity of wafers is processed, in-situ cleaning can be performed without exposing the reactor to the air such that productivity of the apparatus is maximized.
    Type: Application
    Filed: January 22, 2007
    Publication date: April 9, 2009
    Inventors: Dong-Ho You, Ki-Hoon Lee, Yu-Min Jung
  • Publication number: 20090090382
    Abstract: A method of self-cleaning a plasma reactor upon depositing a carbon-based film on a substrate a pre-selected number of times, includes: (i) exciting oxygen gas and/or nitrogen oxide gas to generate a plasma; and (ii) exposing to the plasma a carbon-based film accumulated on an upper electrode provided in the reactor and a carbon-based film accumulated on an inner wall of the reactor.
    Type: Application
    Filed: October 5, 2007
    Publication date: April 9, 2009
    Applicant: ASM JAPAN K.K.
    Inventors: Yoshinori Morisada, Seiji Okura, Kamal Kishore Goundar, Seongoh Woo, Kiyoshi Satoh
  • Publication number: 20090090383
    Abstract: In one embodiment, the present invention is a method and apparatus for cleaning an integrating sphere, such as an integrating sphere used in an integrating sphere spectrophotometer. One embodiment of a spectrophotometer includes an integrating sphere having a reflective interior surface, a primary light source configured to illuminate the interior surface when enabled, and a secondary light source configured to emit ionizing radiation onto the interior surface.
    Type: Application
    Filed: October 3, 2008
    Publication date: April 9, 2009
    Inventor: Alan Ingleson
  • Patent number: 7513955
    Abstract: Cracks are conventionally difficult to clean which often leads to damage to other regions of the component for cleaning. According to the invention, a plasma cleaning method is used, whereby a pressure and/or a separation of an electrode to the component are varied, in order to achieve a plasma cleaning in the crack.
    Type: Grant
    Filed: February 9, 2005
    Date of Patent: April 7, 2009
    Assignee: Siemens Aktiengesellschaft
    Inventors: Ursus Krüger, Ralph Reiche, Jan Steinbach
  • Publication number: 20090084399
    Abstract: In a method and an apparatus for cleaning a substrate using a laser beam, an inner chamber is disposed in a process chamber to define a space in which a laser-induced shock wave is generated. The laser beam is focused on a laser focus positioned in the inner chamber, and thus the laser-induced plasma shock wave is generated around the laser focus. The plasma shock wave is reflected from inner surfaces of the inner chamber and is irradiated on the substrate through a lower portion of the inner chamber. As a result, the intensity of the plasma shock wave irradiated on the substrate is increased, and thus the contaminants on the substrate may be effectively removed.
    Type: Application
    Filed: October 1, 2008
    Publication date: April 2, 2009
    Inventor: Se-Won Lee
  • Publication number: 20090084758
    Abstract: A method for etching a bevel edge of a substrate in a processing chamber is provided. The method includes flowing an inert gas into a center region of the processing chamber defined above a center region of the substrate and flowing a mixture of an inert gas and a processing gas over an edge region of the substrate. The method further includes striking a plasma in the edge region, wherein the flow of the inert gas and the flow of the mixture maintain a mass fraction of the processing gas substantially constant. A processing chamber configured to clean a bevel edge of a substrate is also provided.
    Type: Application
    Filed: October 2, 2007
    Publication date: April 2, 2009
    Inventors: Jack Chen, Andrew D. Bailey, III, Iqbal Shareef
  • Patent number: 7509962
    Abstract: A method and control system for treating a hafnium-based dielectric processing system in which a system component of the processing system is exposed to a chlorine-containing gas. A residual hafnium by-product remaining in the processing system after a hafnium removal process is reacted with a chlorine-containing etchant derived from the chlorine-containing gas. A chlorinated hafnium product is volatilized for exhaustion from the processing system. The control system can utilize a computer readable medium to introduce a chlorine-containing gas to the processing system, to adjust at least one of a temperature and a pressure in the processing system to produce from the chlorine-containing gas a chlorine-containing etchant for dissolution of a residual hafnium by-product remaining in the processing system after a hafnium silicate, hafnium oxide, or hafnium oxynitride removal process, and to exhaust a chlorinated hafnium product from the processing system.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: March 31, 2009
    Assignee: Tokyo Electron Limited
    Inventors: David L. O'Meara, Shingo Maku
  • Patent number: 7506654
    Abstract: A method and apparatus that reduces the time required to clean a processing chamber employing a reactive plasma cleaning process. A plasma is formed in an Astron fluorine source generator from a flow of substantially pure inert-source gas. After formation of the plasma, a flow of a fluorine source gas is introduced therein such that the fluorine source flow accelerates at a rate no greater than 1.67 standard cubic centimeters per second2 (scc/s2). In this fashion, the plasma contains a plurality of radicals and dissociated inert-source gas atoms, defining a cleaning mixture. The ratio of inert-source gas to fluorine source is greater than 1:1.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: March 24, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Shankar N. Chandran, Scott Hendrickson, Gwendolyn D. Jones, Shankar Venkataraman, Ellie Yieh
  • Publication number: 20090071505
    Abstract: Provided is a cleaning method which can efficiently remove a film, such as a high dielectric constant oxide film, which is difficult to be etched by a fluorine-containing gas alone. As a cleaning method of a substrate processing apparatus which forms a desired film on a wafer by supplying a source gas, there is provided a cleaning method for removing a film attached to the inside of a processing chamber. The cleaning method includes: a step of supplying a halogen-containing gas into the processing chamber; and a step of supplying a fluorine-containing gas into the processing chamber, after starting the supply of the halogen-containing gas, wherein, in the step of supplying the fluorine-containing gas, the fluorine-containing gas is supplied while supplying the halogen-containing gas into the processing chamber.
    Type: Application
    Filed: August 8, 2008
    Publication date: March 19, 2009
    Inventors: Hironobu Miya, Yuji Takebayashi, Masanori Sakai, Shinya Sasaki, Hirohisa Yamazaki, Atsuhiko Suda
  • Publication number: 20090071404
    Abstract: A Ti film is formed by CVD in holes formed in an insulating film formed on a Si substrate or on a Si film formed on a Si substrate by a method according to the present invention. The method includes the steps of: loading a Si substrate into a film forming chamber; evacuating the chamber at a predetermined vacuum; supplying TiCl4 gas, H2 gas, Ar gas and SiH4 gas into the film forming chamber; and producing a plasma in the film forming chamber to deposit a Ti film in the holes formed in the insulating film. The Si substrate is heated at 500° C. or below during the deposition of the Ti film. The flow rate of the SiH4 gas is from 30 to 70% of the flow rate of the TiCl4 gas. This method enables formation of a Ti film on a Si base at positions of holes in an insulating layer, with a good morphology of the interface between the Si base and the Ti film and with a good step coverage.
    Type: Application
    Filed: October 30, 2008
    Publication date: March 19, 2009
    Inventors: Kunihiro Tada, Hayashi Otsuki