Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 7504643
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa). The cleaning arrangement may further include a gas return system.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 17, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov, Derk Jan Wilfred Klunder, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Publication number: 20090065025
    Abstract: An improved reaction chamber cleaning process is provided for removing water residues that makes use of noble-gas plasma reactions. The method is easy applicable and may be combined with standard cleaning procedure. A noble-gas plasma (e.g. He) that emits high energy EUV photons (E>20 eV) which is able to destruct water molecules to form electronically excited oxygen atoms is used to remove the adsorbed water.
    Type: Application
    Filed: September 5, 2008
    Publication date: March 12, 2009
    Applicants: Interuniversitair Microelektronica Centrum vzw (IMEC), Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Adam Michal Urbanowicz, Mikhail Baklanov, Denis Shamiryan, Stefan De Gendt
  • Publication number: 20090068844
    Abstract: Mixtures of fluorine and inert gases like nitrogen and/or argon can be used for etching of semiconductors, solar panels and flat panels (TFTs and LCDs), and for cleaning of semiconductor surfaces and plasma chambers. Preferably, fluorine is comprised in an amount of 15 to 25 vol.-% in binary mixtures. The gas mixtures can be used as substitute or drop-in for respective mixtures comprising NF3 and permit a very flexible operation of plasma apparatus. For example, apparatus tuned for NF3/Ar mixtures can be operated without further tuning using fluorine and argon, optionally together with nitrogen. The fluorine content is preferably in the range of 1 to 5 vol.-%, if ternary mixtures of fluorine, nitrogen and argon are used.
    Type: Application
    Filed: April 6, 2007
    Publication date: March 12, 2009
    Applicant: SOLVAY FLUOR GMBH
    Inventors: Anja Pischtiak, Thomas Schwarze, Michael Pittroff
  • Patent number: 7500445
    Abstract: The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power.
    Type: Grant
    Filed: January 27, 2003
    Date of Patent: March 10, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Maosheng Zhao, Juan Carlos Rocha-Alvarez, Inna Shmurun, Soova Sen, Mao D. Lim, Shankar Venkataraman, Ju-Hyung Lee
  • Publication number: 20090056743
    Abstract: A method and apparatus for cleaning a plasma enhanced chemical vapor deposition chamber is described. In one embodiment, the method includes providing a first cleaning gas to a processing region within the chamber; and then providing a second cleaning gas to the processing region. In another embodiment, the method includes providing a substantially pure fluorine gas to a processing chamber.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 5, 2009
    Inventors: Soo Young Choi, John M. White, Beom Soo Park, Liwei Li
  • Patent number: 7495239
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa).
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: February 24, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov
  • Publication number: 20090047447
    Abstract: The present invention relates to plasma cleaning methods for removing surface deposits from a surface, such as the interior of a depositions chamber that is used in fabricating electronic devices. The present invention also provides gas mixtures and activated gas mixtures which provide superior performance in removing deposits from a surface. The methods involve activating a gas mixture comprising a carbon or sulfur source, NF3, and optionally, an oxygen source to form an activated gas, and contacting the activated gas mixture with surface deposits to remove the surface deposits wherein the activated gas mixture acts to passivate the interior surfaces of the apparatus to reduce the rate of surface recombination of gas phase species.
    Type: Application
    Filed: August 2, 2006
    Publication date: February 19, 2009
    Inventors: Herbert H. Sawin, Bo Bai, Ju Jin An
  • Publication number: 20090035586
    Abstract: The invention is directed to a method for cleaning surfaces of optical elements made from metal fluoride single crystals of formula MF2, where M is calcium, barium, magnesium, or strontium, or mixtures of the foregoing, prior to coating the elements with films of protective materials; and to a DUV optic made using the foregoing method. The method has at least the steps of: (a) immersing the optical element in at least one selected liquid and utilizing sonication at megasonic frequencies to remove particulates, polishing slurry residue and the damaged top layer of the optical element; (b) cleaning in a gas phase cleaning process whereby hydrocarbons are removed from the surface of the optical element using UV/ozone cleaning; and (c) exposing, in a gas phase process, of the optical element's surface to a low-energy plasma containing argon and oxygen, xenon and oxygen, or fluorine in a vacuum environment.
    Type: Application
    Filed: July 28, 2008
    Publication date: February 5, 2009
    Inventors: Micheal J. Cangemi, Jiangwei Feng, Cindy J. Hayden, Robert Sabia, Horst Schreiber, Jue Wang
  • Publication number: 20090035945
    Abstract: In remote plasma cleaning, it is difficult to locally excite a plasma because the condition is not suitable for plasma excitation different from that at the time of film formation and a method using light has a problem of fogginess of a detection window that cannot be avoided in a CVD process and is not suitable for a mass production process.
    Type: Application
    Filed: July 25, 2008
    Publication date: February 5, 2009
    Inventors: Kazuyuki FUJII, Minoru Hanazaki, Gen Kawaharada, Masakazu Taki, Mutsumi Tsuda
  • Publication number: 20090032055
    Abstract: A tire vulcanization mold cleaning method for cleaning a molding surface of a sector of the tire vulcanization mold for molding a tread portion of a pneumatic tire by using plasma created in an atmosphere of a reaction gas which chemically reacts with dirt attached to the molding surface of the sector. The method comprises the steps of placing the sector on a electrode table in a cleaning tank with the molding surface of the sector facing a discharge electrode, supplying the reaction gas to the cleaning tank while pressure in the cleaning tank is reduced, supplying a high-frequency power to the discharge electrode to create the plasma between the discharge electrode and the molding surface of the sector, and applying to the electrode table a high-frequency voltage having a frequency lower than a high frequency wave supplied to the discharge electrode to make the electrode table generate a negative self-bias.
    Type: Application
    Filed: August 1, 2006
    Publication date: February 5, 2009
    Applicant: The Yokohama Rubber Co., Ltd
    Inventors: Akikazu Seko, Miyuki Saitou, Toshihiko Hatanaka
  • Patent number: 7484513
    Abstract: A Ti film is formed by CVD in holes formed in an insulating film formed on a Si substrate or on a Si film formed on a Si substrate by a method according to the present invenitioin. The method includes the steps of loading a Si substrate into a film forming chamber; evacuating the chamber at a predetermined vacuum; supplying TiCl4 gas, H2 gas, Ar gas and SiH4 gas into the film forming chamber; and producing a plasma in the film forming chamber to deposit a Ti film in the holes formed in the insulating film. The Si substrate is heated at 500° C. or below during the deposition of the Ti film. The flow rate of the SiH4 gas is from 30 to 70% of the flow rate of the TiCl4 gas. This method enables formation of a Ti film on a Si base at positions of holes in an insulating layer, with a good morphology of the interface between the Si base and the Ti film and with a good step coverage.
    Type: Grant
    Filed: January 5, 2005
    Date of Patent: February 3, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Kunihiro Tada, Hayashi Otsuki
  • Publication number: 20090025750
    Abstract: A method of removing a deposition from an optical element of an apparatus. The method includes providing a hydrogen comprising gas in at least a part of the apparatus, providing nitrogen radicals in the part of the apparatus for generating hydrogen radicals from the hydrogen comprising gas, and contacting the optical element with at least part of the hydrogen radicals to removal the deposition.
    Type: Application
    Filed: July 24, 2007
    Publication date: January 29, 2009
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Maarten Marinus Wilhelmus Van Herpen, Antonius Theodorus Kempen, Wouter Anthon Soer
  • Patent number: 7481230
    Abstract: A plasma processing method allows to suppress the drop of the etching rate of the depoless-process without performing an additional seasoning process right after the dry cleaning process. The method includes a first and a second plasma processing step carried out in a single chamber and a step of dry cleaning an inside of the chamber by using a dummy substrate between the first and the second plasma processing step. Deposits are substantially accumulated in the chamber during the first plasma processing step, while substantially no deposits are accumulated in the chamber during the second plasma processing step. The dry cleaning step is performed by supplying into the chamber a deposit removing gas for removing the deposits produced in the chamber during the first plasma processing step and a dummy substrate etching gas capable of etching the dummy substrate.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: January 27, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiromi Sakima
  • Publication number: 20090023241
    Abstract: The present invention generally comprises a method for cleaning a large area substrate processing chamber. As chamber volume increases, it has surprisingly been found that simply scaling up the cleaning conditions may not effectively clean silicon from the exposed chamber surfaces. Undesired silicon deposits on exposed chamber surfaces may lead to contamination in solar panel formation. Increasing the pressure of the chamber to about 10 Torr or greater while maintaining the chamber at a temperature between about 150 degrees Celsius and 250 degrees Celsius increases plasma cleaning effectiveness such that silicon deposits are removed from the chamber. The combination of high pressure and low temperature may reduce substrate contamination without sacrificing substrate throughput in solar panel fabrication.
    Type: Application
    Filed: July 16, 2008
    Publication date: January 22, 2009
    Inventors: Gaku Furuta, Liwei Li, Takao Hashimoto, Soo Young Choi
  • Patent number: 7479191
    Abstract: Methods of determining the endpoint of cleaning residues from the internal surfaces of a chemical vapor deposition chamber are described. The methods are especially useful for determining when organic-based residues deposited from an ultra low-k film precursor deposition are removed from the chamber. The methods involve cleaning the chamber with a plasma comprising fluorine and oxygen while monitoring the intensity of the optical emission lines of one or more atomic or molecular species that correlate to the removal of the organic-based residues. Techniques and apparatuses for monitoring different appropriate emission lines are described. Methods of the invention can be used to prevent particle contamination during CVD operations following ultra low-k film precursor depositions and improve wafer throughput in manufacturing environments.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: January 20, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: William R. Entley, John G. Langan, Amith Murali, Kathleen Bennett
  • Publication number: 20090014027
    Abstract: The invention is directed to a method and an arrangement for cleaning optical surfaces of reflection optics which are arranged in a plasma-based radiation source or exposure device arranged downstream and contaminated by debris particles emitted by a hot plasma of the radiation source. It is the object of the invention to find a novel possibility for in-situ cleaning of the optical surfaces of reflection optics which are contaminated by debris in plasma-based radiation sources so as to allow an integrated generation of known gas radicals and the isotropic distribution thereof on the contaminated optical surfaces. According to the invention, this object is met in that the gas radicals are generated by dielectrically impeded discharge between two surface electrodes along the entire optical surface.
    Type: Application
    Filed: May 29, 2008
    Publication date: January 15, 2009
    Inventor: GUIDO SCHRIEVER
  • Publication number: 20090011149
    Abstract: A method of forming a low-K dielectric film, comprises the steps of placing a substrate carrying thereon a low-K dielectric film on a stage, heating the low-K dielectric film on the stage, processing the low-K dielectric film by plasma of a processing gas containing a hydrogen gas, the plasma being excited while supplying the processing gas over the low-K dielectric film, wherein the plasma is excited within 90 seconds after placing the substrate upon the stage.
    Type: Application
    Filed: September 10, 2008
    Publication date: January 8, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yusaku KASHIWAGI, Yasuhiro OSHIMA, Yoshihisa KAGAWA, Gishi CHUNG
  • Publication number: 20080317975
    Abstract: In a RLSA microwave plasma processing apparatus that radiates microwave from a microwave generator into a chamber by using a planer antenna (Radial Line Slot Antenna) having many slots formed according to a certain pattern, the chamber contaminated with Na or the like is cleaned by using a cleaning gas containing H2 and O2.
    Type: Application
    Filed: January 23, 2006
    Publication date: December 25, 2008
    Inventors: Shingo Furui, Takashi Kobayashi, Junichi Kitagawa
  • Publication number: 20080314408
    Abstract: The plasma etching apparatus effectively removes an outgrowth caused by the etching in the chamber after performing a fabrication process, and a chamber cleaning method using the plasma etching apparatus. The plasma etching apparatus includes: a chamber in which an etching process of a substrate is conducted using a plasma; upper and lower electrodes arranged in the chamber; a RF power-supply unit which simultaneously applies a RF power to the upper and lower electrodes; and a controller which adjusts a power ratio of the RF power simultaneously applied to the upper and lower electrodes, and controls a plasma distribution for cleaning an inner part of the chamber. As a result, the plasma is evenly formed in the chamber, so that a cleaning efficiency can be maximized.
    Type: Application
    Filed: April 15, 2008
    Publication date: December 25, 2008
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sang Min Jeong, Doug Yong Sung
  • Publication number: 20080308121
    Abstract: A portable die cleaning apparatus and method are provided The apparatus is capable of performing a plasma cleaning process for a surface of a die using plasma discharge generated in a reaction chamber that is defined on the die, without separating the die. The portable die cleaning apparatus includes a frame with an open lower face to define a reaction chamber facing the surface of the die between the surface of the die and the frame itself when the frame is seated on the die and an active electrode that is placed at a position opposite to the die in a state where the die is electrically grounded, and receives electric power from an external power supply to generate plasma in the reaction chamber.
    Type: Application
    Filed: September 5, 2006
    Publication date: December 18, 2008
    Applicant: PSM INC.
    Inventors: Hae Ryong Lee, Keun Ho Lee, Duk Jae Kim, Jung Keun Oh, Do Hyun Kim
  • Patent number: 7465946
    Abstract: An EUV light source is disclosed which may comprise at least one optical element having a surface, such as a multi-layer collector mirror; a laser source generating a laser beam; and a source material irradiated by the laser beam to form a plasma and emit EUV light. In one aspect, the source material may consist essentially of a tin compound and may generate tin debris by plasma formation which deposits on the optical element and, in addition, the tin compound may include an element that is effective in etching deposited tin from the optical element surface. Tin compounds may include SnBr4, SnBr2 and SnH4. In another aspect, an EUV light source may comprise a molten source material irradiated by a laser beam to form a plasma and emit EUV light, the source material comprising tin and at least one other metal, for example tin with Gallium and/or Indium.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: December 16, 2008
    Assignee: Cymer, Inc.
    Inventors: Norbert R. Bowering, Oleh V. Khodykin, Alexander N. Bykanov, Igor V. Fomenkov
  • Patent number: 7464717
    Abstract: The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power.
    Type: Grant
    Filed: June 19, 2006
    Date of Patent: December 16, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Maosheng Zhao, Juan Carlos Rocha-Alvarez, Inna Shmurun, Soova Sen, Mao D. Lim, Shankar Venkataraman, Ju-Hyung Lee
  • Patent number: 7465357
    Abstract: The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: December 16, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Maosheng Zhao, Juan Carlos Rocha-Alvarez, Inna Shmurun, Soova Sen, Mao D. Lim, Shankar Venkataraman, Ju-Hyung Lee
  • Publication number: 20080303744
    Abstract: A plasma processing system 10 includes a processing chamber 100, a microwave source 900 that outputs a microwave, an inner conductor of a coaxial waveguide 315a that transfers the microwave, a through-hole 305a, a dielectric plate 305 that transmits the microwave transferred through the inner conductor 315a and discharges it into a processing chamber 100, and a metal electrode 310 that is coupled to the inner conductor 315a via the through-hole 305a, the metal electrode 310 being exposed on the surface of the dielectric plate 305 that faces the substrate with at least a portion of the metal electrode 310 being adjacent to the surface of the dielectric plate 305 that faces the substrate. A surface of the exposed surface of the metal electrode 310 is covered by the dielectric cover 320.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 11, 2008
    Applicants: TOKYO ELECTRON LIMITED, Tohoku University
    Inventors: Masaki HIRAYAMA, Tadahiro OHMI
  • Publication number: 20080302761
    Abstract: A plasma processing system 10 includes a processing chamber 100, a microwave source 700 that outputs a microwave, a coaxial waveguide 315 that transfers the microwave from the microwave source, a plurality of dielectric plates 305 that transmit the microwave transferred through the coaxial waveguide 315 and discharge the microwave into the processing chamber 100, and a metal electrode 310 having a first end and a second end, the first end coupled to the coaxial waveguide 315, the second end disposed on the surface of the dielectric plate 305 facing the substrate. The coaxial waveguide 315 holds the dielectric plate 305 and metal electrode 310 and is securely fastened by a fastening mechanism 500. The coaxial waveguide 315 is given a force by the spring member 515, the force being directed away from the processing chamber 100.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 11, 2008
    Applicants: TOKYO ELECTON LIMITED, Tohoku University
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 7462569
    Abstract: A method of manufacturing a semiconductor device bakes a first semiconductor substrate on which a sacrifice film is formed in a reaction chamber to preliminarily coat an inner wall of the reaction chamber with a component of a gas generated by the sacrifice film, and bakes a second semiconductor substrate on which a predetermined film including the same component as that of the sacrifice film is formed in the preliminarily coated reaction chamber, while irradiating electron beams on the predetermined film to change quality of the predetermined film.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: December 9, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Keiji Fujita, Hideshi Miyajima
  • Publication number: 20080289650
    Abstract: Disclosed herein is a method of cleaning oxide from a surface in the fabrication of an integrated device using reducing radicals and UV radiation. For silicon surfaces, the cleaning may be performed at a temperature at which a hydrogen-terminated passivated surface is stable, such that the surface remains protected after loading into the chamber until the cleaning is performed. Performing the cleaning at a lower temperature also consumes a reduced portion of the thermal budget of a semiconductor device. Epitaxial deposition can then be performed over the cleaned surface.
    Type: Application
    Filed: May 24, 2007
    Publication date: November 27, 2008
    Applicant: ASM America, Inc.
    Inventor: Chantal J. Arena
  • Patent number: 7456109
    Abstract: A cleaning method of a substrate processor that reduces damage to a member in a substrate processing container. The method of cleaning the substrate processing container of the substrate processor that processes a target substrate according to the present invention includes: introducing gas into a remote plasma generating unit of the substrate processor; exciting the gas by the remote plasma generating unit, and generating reactive species; and supplying the reactive species to the processing container from the remote plasma generating unit, and pressurizing the processing container at 1333 Pa or greater.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 25, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Yamasaki, Kazuhito Nakamura, Koumei Matsuzawa, Tsukasa Matsuda, Yumiko Kawano
  • Publication number: 20080283087
    Abstract: A dry cleaning method for use in a semiconductor manufacturing apparatus having a multi-lifter includes checking whether it is a dry cleaning period, and when it is determined to be a dry cleaning period then checking whether a wafer completed for a process has been returned from an electrostatic chuck to the outside of the semiconductor manufacturing apparatus. The method further includes raising an electrostatic chuck cathode part when the wafer has been returned from the electrostatic chuck to the outside of the semiconductor manufacturing apparatus, and forming plasma after raising the electrostatic chuck cathode part, and performing a dry cleaning process.
    Type: Application
    Filed: April 29, 2008
    Publication date: November 20, 2008
    Inventor: Ki-Duck Yoon
  • Publication number: 20080283088
    Abstract: The plasma processing apparatus relating to the present invention has a measurement circuit for measuring an antenna bias voltage that varies according to an amount of electrical charge between an inner wall of a chamber and plasma generated in the chamber. The obtained antenna bias voltage is converted to a statistical value and is stored in a statistical value memory unit after being associated with a number of particles attached on a workpiece during the same plasma processing that the antenna bias voltage is obtained. A correspondence acquisition unit obtains a correspondence the antenna bias voltage and the number of particles based on stored data in the statistical value memory. Then, a prediction unit predicts the antenna bias voltage at which the number of particles reaches to a pre-determined value based on the correspondence obtained by the correspondence acquisition unit.
    Type: Application
    Filed: May 15, 2008
    Publication date: November 20, 2008
    Inventors: Miki Shima, Shinichi Imai
  • Publication number: 20080283086
    Abstract: A substrate processing apparatus has a pressure-reducible reaction chamber, a substrate support provided in the reaction chamber, a gas inlet port provided in a wall portion of the reaction chamber to introduce a gas into the reaction chamber, a first plate provided between the substrate support and the gas inlet port in the reaction chamber and having a plurality of first holes for dispersing the gas introduced from the gas inlet port into the reaction chamber, and a second plate provided between the substrate support and the first plate in the reaction chamber in opposing relation to the first plate and having a plurality of second holes for further dispersing the gas dispersed by the first plate. The first and second plates can be moved relatively to each other such that a spacing between the first and second plates is variable.
    Type: Application
    Filed: September 14, 2007
    Publication date: November 20, 2008
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Toshio Matsubara, Satoshi Ueda, Hiroyuki Satou, Hideto Uchijima
  • Publication number: 20080276957
    Abstract: A CVD vacuum vessel including an electrically conductive partition plate which divides the interior of the vacuum vessel into a plasma generating space and a film-deposition processing space, and an electrically conductive spiral shield. The electrically conductive partition plate has a plurality of through-holes connecting the plasma generating space to the film-deposition processing space and a heater for heating the electrically conductive partition plate. The partitioning plate is mounted to the vacuum vessel by means of a mounting screw such that electrical contact between the partitioning plate and the vacuum vessel is achieved through said spiral shield.
    Type: Application
    Filed: May 9, 2008
    Publication date: November 13, 2008
    Applicant: ANELVA CORPORATION
    Inventor: Hiroshi Nogami
  • Publication number: 20080271748
    Abstract: The present invention relates to a method of and arrangement for removing contaminants from a surface of a substrate by subjecting said substrate surface to an atmospheric pressure glow plasma. Said plasma is generated in a discharge space comprising a plurality of electrodes, by applying an alternating plasma energizing voltage to said electrodes causing a plasma current and a displacement current. Said plasma is stabilised by controlling said displacement current during plasma generation such that modification of properties of said substrate surface is prevented.
    Type: Application
    Filed: December 22, 2004
    Publication date: November 6, 2008
    Applicant: Fuji Photo Film B.V.
    Inventors: Hindrik Willem De Vries, Eugen Aldea, Jan Bastiaan Bouwstra, Mauritius Cornelius Maria Van De Sanden
  • Publication number: 20080257379
    Abstract: A method for treating the surface of a work piece includes exposing the surface to be treated to a beam of electromagnetic radiation, and simultaneously exposing an area of the surface exposed to the beam of electromagnetic radiation at least partially to a plasma beam. This method provides an improved pretreatment, in particular cleaning of the surface of a work piece, by, at least in part, energy density enhancements of each of the beam of electromagnetic radiation and the plasma beam.
    Type: Application
    Filed: March 5, 2008
    Publication date: October 23, 2008
    Inventors: Christian Buske, Peter Fornsel
  • Patent number: 7435687
    Abstract: The invention provides a plasma processing method and plasma processing device for manufacturing semiconductor devices in which the number of foreign particles being adhered to the wafer is reduced greatly and the yield is improved. In a plasma processing device having a plasma source capable of controlling plasma distribution, the shape of a sheath/bulk boundary above the wafer is controlled to a convexed shape when the plasma is turned on and off. By adding a step of applying a low source power and wafer bias power when the plasma is turned on and off in order to realize an out-high plasma distribution, it is possible to form a sheath that is thicker near the center of the wafer and thinner at the outer circumference portion thereof.
    Type: Grant
    Filed: January 23, 2006
    Date of Patent: October 14, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Maeda, Tomoyuki Tamura, Hiroyuki Kobayashi, Kenetsu Yokogawa, Tadamitsu Kanekiyo
  • Publication number: 20080248215
    Abstract: The invention relates to a process and to a web deposition machine for coating a plastic substrate with at least one metal layer, in particular plastic foil for flexible, printed circuit boards, wherein before depositing a first layer onto a surface of the plastic substrate to be deposited, a non depositing pretreatment of this surface is performed. It is the object of the invention to provide a process as described above through which the adhesion of metal layers on a plastic substrate is improved. Furthermore, a web deposition machine shall be provided through which such process can be performed. The object is accomplished through a process so that the non depositing pretreatment is performed in two steps, thus in a first step in which the surface of the plastic substrate (2) is cleaned with a non reactive low energy plasma (14), and in a second step in which the surface of the plastic substrate (2) is activated through reactive high energy ion radiation (17).
    Type: Application
    Filed: February 7, 2008
    Publication date: October 9, 2008
    Applicant: Applied Materials, Inc.
    Inventors: Peter Sauer, Hans-Georg Lotz
  • Publication number: 20080245389
    Abstract: To clean an element in a vacuum chamber by causing particles sticking to the element to scatter, the present invention uses a means for applying a voltage to the element and causing the particles to scatter by utilizing Maxwell's stress, a means for electrically charging the particles and causing the particles to scatter by utilizing the Coulomb force, a means for introducing a gas into the vacuum chamber and causing the particles sticking to the element to scatter by causing a gas shock wave to hit the element, a means for heating the element and causing the particles to scatter by utilizing the thermal stress and thermophoretic force, or a means for causing the particles to scatter by applying mechanical vibrations to the element. The thus scattered particles are removed by carrying them in a gas flow in a relatively high pressure atmosphere.
    Type: Application
    Filed: June 2, 2008
    Publication date: October 9, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi Moriya, Hiroshi Nagaike, Hiroyuki Nakayama
  • Patent number: 7432209
    Abstract: A plasma etch process with in-situ backside polymer removal begins with a workpiece having a porous or non-porous carbon-doped silicon oxide dielectric layer and a photoresist mask on a surface of the workpiece. The workpiece is clamped onto an electrostatic chuck in an etch reactor chamber. The process includes introducing a fluoro-carbon based process gas and applying RF bias power to the electrostatic chuck and RF source power to an overhead electrode to etch exposed portions of the dielectric layer while depositing protective fluoro-carbon polymer on the photoresist mask. The process further includes removing the fluoro-carbon based process gas and introducing a hydrogen-based process gas and applying RF source power to the overhead electrode.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Gerardo A. Delgadino, Richard Hagborg, Douglas A. Buchberger, Jr.
  • Publication number: 20080236614
    Abstract: A plasma processing apparatus includes a vacuum chamber, a processing chamber housed in the vacuum chamber, and a sample stage located in the processing chamber, for supporting on its upper surface a disk-like sample to be processed, wherein plural disk-like samples are continuously processed with plasma generated in the processing chamber and wherein during the idling time between the successive processes the temperature of the sample stage is adjusted to a predetermined value higher than the temperature at which the samples are processed.
    Type: Application
    Filed: February 29, 2008
    Publication date: October 2, 2008
    Inventors: Mamoru YAKUSHIJI, Yutaka Ohmoto, Yutaka Kouzuma, Ken Yoshioka
  • Patent number: 7425510
    Abstract: Methods of cleaning a processing chamber of semiconductor device fabrication equipment are highly effective in removing polymers produced as a by-product of a fabrication process from surfaces in a processing chamber. The cleaning process uses a plasma etchant produced from cleaning gas including an O-based gas and at least one gas selected from the group consisting of an F-based gas and a Cl-based gas. The polymer is dissolved in-situ using the plasma etchant. Thus, frequency at which PM (preventative maintenance) of the equipment must be performed is minimized, and the method contributes to maximizing the yield and quality of the semiconductor devices.
    Type: Grant
    Filed: February 6, 2007
    Date of Patent: September 16, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Young-Ju Kim
  • Publication number: 20080216957
    Abstract: A cleaning method for a plasma processing apparatus includes introducing a cleaning gas containing Cl2 and N2 into the processing chamber by the gas supply mechanism; and removing aluminum-based deposits adhered to the inside of the processing chamber by generating a plasma of the cleaning gas by the plasma generating mechanism. The plasma processing apparatus includes a processing chamber for accommodating and processing a target substrate therein; a gas supply mechanism for supplying a gas into the processing chamber; a gas exhaust mechanism for evacuating the processing chamber; and a plasma generating mechanism for generating a plasma of the gas supplied in to the processing chamber.
    Type: Application
    Filed: February 29, 2008
    Publication date: September 11, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kosuke Ogasawara, Takamichi Kikuchi
  • Publication number: 20080214007
    Abstract: Provided is a method for removing diamond like carbon residue from a deposition chamber. This method, in one embodiment, may include subjecting a deposition chamber including diamond like carbon residue to a plasma clean in the presence of fluorine containing gas and oxygen containing gas. The method may further include purging the deposition chamber having been subjected to the plasma clean with an inert gas, and pumping the deposition chamber having been subjected to the plasma clean.
    Type: Application
    Filed: March 2, 2007
    Publication date: September 4, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Maria Wang, Erika Leigh Shoemaker, Mary Roby, Stuart Jacobsen
  • Patent number: 7413993
    Abstract: The invention is concerned with a process for removing residue comprising a polymeric resist and metal oxide from a metal structure on a semiconductor substrate, the process comprising the steps of: (a) heating up the substrate with the metal structure in the presence of molecular nitrogen gas (N2); (b) a stabilization step in the presence of pure molecular nitrogen gas (N2); (c) a passivation step employing a plasma containing at least one of the group of water, nitrogen and oxygen; and (d) a stripping step containing oxygen to remove the residue, comprising resist.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: August 19, 2008
    Assignees: Infineon Technologies AG, Nanya Technology Corporation
    Inventors: Ronald Gottzein, Jens Bachmann, Dirk Efferenn, Uwe Kahler, Chung-Hsin Lin, Wen-Bin Lin, Lee Donohue
  • Publication number: 20080190449
    Abstract: The invention concerns a method and a device for descaling a metal strip (1), especially a hot-rolled strip of normal steel or a hot-rolled or cold-rolled strip of austenitic or ferritic stainless steel, in which the metal strip (1) is guided in a direction of conveyance (R) through at least one plasma descaling unit (2, 3) in which it is subjected to a plasma descaling. The objective of the invention is to improve the production of this type of metal strip. To this end, the metal strip (1) is subjected to an automatically controlled cooling process in a cooling unit (4, 5) following the plasma descaling in the one or more plasma descaling units (2, 3) in such a way that it has a well-defined temperature downstream of the cooling unit (4, 5). The invention also concerns a method in which the strip is coated with a coating metal after the plasma descaling operation and in which the heating of the strip caused by the plasma descaling operation is utilized in the coating operation.
    Type: Application
    Filed: March 16, 2006
    Publication date: August 14, 2008
    Inventors: Holger Behrens, Rolf Brisberger, Klaus Frommann, Matthias Kretschmer, Rudiger Zerbe, Evgeny Stepanovich Senokosov, Andrei Evgenievich Senokosov
  • Publication number: 20080190364
    Abstract: A substrate support assembly supports a substrate in a process zone of a process chamber. The substrate support assembly has a support block having an electrode and an arm to hold the support block in the process chamber, the arm having a channel therethrough. The arm has a first clamp to attach to the support block and a second clamp to attach to a chamber component. A silicon cover lock comprising an annular disc shaped and sized to seat in the arm beneath the first clamp to cover and seal off the electrical conductors from the chamber environment.
    Type: Application
    Filed: February 13, 2007
    Publication date: August 14, 2008
    Inventors: Christopher Richard Mahon, Abhijit Desai, Robert T. Hirahara
  • Publication number: 20080190448
    Abstract: An apparatus for removing material on a bevel of a wafer is provided. A wafer support with a diameter that is less than the diameter of the wafer, wherein the wafer support is on a first side of the wafer, and wherein an outer edge of the wafer extends beyond the wafer support around the wafer is provided. An RF power source is electrically connected to the wafer. A central cover is spaced apart from the wafer support. A first electrically conductive ring is on the first side of and spaced apart from the wafer. A second electrically conductive ring is spaced apart from the wafer. An electrically conductive liner surrounds the outer edge of the wafer. A switch is between the liner and ground, allowing the liner to be switched from being grounded to floating.
    Type: Application
    Filed: February 8, 2007
    Publication date: August 14, 2008
    Inventors: Yunsang Kim, Andrew Bailey, Greg Sexton, Keechan Kim, Andras Kuthi
  • Publication number: 20080185017
    Abstract: A film removal method and apparatus for removing a film from a substrate are disclosed. The method comprises the steps of disposing a plasma generator and a sucking apparatus over the substrate, projecting a plasma beam from the plasma generator onto the film obliquely, disposing the sucking apparatus on a reflection path of plasma projected by the plasma generator, and sucking a by-product of an incomplete plasma reaction occurring to the film so as to keep a surface of the substrate clean, with a view to overcoming the drawbacks of deposition of the by-product which results from using the plasma as a surface cleansing means under atmospheric conditions.
    Type: Application
    Filed: April 1, 2008
    Publication date: August 7, 2008
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Chen-Der Tsai, Chun-Hung Lin
  • Publication number: 20080178906
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Application
    Filed: November 29, 2007
    Publication date: July 31, 2008
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Publication number: 20080178907
    Abstract: A method for treating a thermally loaded component having a metallic substrate and at least partially coated on an outer side with a protective coating, is provided. The method includes the step of predamaging the protective coating before removing the protective coating from the substrate using dry ice blasting. The predamaging is performed so as to lead to an increase of efficiency of the removal process.
    Type: Application
    Filed: January 23, 2008
    Publication date: July 31, 2008
    Applicant: ALSTOM Technology Ltd.
    Inventors: Thomas Duda, Lars Engl
  • Publication number: 20080173326
    Abstract: A method for in-situ cleaning of a dielectric dome surface having been used in pre-clean processes is provided. Carbon containing deposits are removed by providing a plasma of one or more oxidizing gases which react with the carbon containing films to form volatile carbon containing compounds.
    Type: Application
    Filed: January 23, 2007
    Publication date: July 24, 2008
    Inventors: QUANCHENG GU, Cheng-Hsiung Tsai, John C. Forster, Xiaoxi Guo, Larry Frazier