Semiconductor Cleaning Patents (Class 134/1.2)
  • Publication number: 20150144155
    Abstract: A method for removing photoresist, an oxidation layer, or both from a semiconductor substrate is disclosed. The method includes placing a substrate in a processing chamber, the processing chamber separate from a plasma chamber for generating a non-oxidizing plasma to be used in treating the substrate; generating a first non-oxidizing plasma from a first reactant gas and a first carrier gas in the plasma chamber, wherein the first non-oxidizing plasma comprises from about 10% to about 40% of the first reactant gas, wherein the first reactant gas has a flow rate of from about 100 standard cubic centimeters per minute to about 15,000 standard cubic centimeters per minute, and wherein the first carrier gas has a flow rate of from about 500 standard cubic centimeters per minute to about 20,000 standard cubic centimeters per minute; and treating the substrate by exposing the substrate to the first non-oxidizing plasma in the processing chamber.
    Type: Application
    Filed: July 16, 2013
    Publication date: May 28, 2015
    Inventors: Li Diao, Robert George Elliston, David Gilbert, Chan-Yun Lee, James Paris, HaiAu PhanVu, Tom Tillery, Vijay Matthew Vaniapura
  • Patent number: 9029267
    Abstract: A method for controlling thermal cycling of a faraday shield in a plasma process chamber is provided. The method includes: performing a first plasma processing operation on a first wafer in the plasma process chamber; terminating the first plasma processing operation; performing a first wafer transfer operation to transfer the first wafer out of the chamber; and, during the first wafer transfer operation, applying power to a TCP coil under a plasma limiting condition.
    Type: Grant
    Filed: May 16, 2013
    Date of Patent: May 12, 2015
    Assignee: Lam Research Corporation
    Inventors: Sanket Sant, Raphael Casaes
  • Publication number: 20150107618
    Abstract: A gas comprising oxygen is supplied to a plasma source. A plasma jet comprising oxygen plasma particles is generated from the gas. A contaminant is removed from the component using the oxygen plasma particles.
    Type: Application
    Filed: October 21, 2013
    Publication date: April 23, 2015
    Applicant: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Vahid Firouzdor, Tom K. Cho, Ying Zhang
  • Patent number: 9005367
    Abstract: A liquid composition comprising (A) at least one polar organic solvent, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50° C. for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, (B) at least one quaternary ammonium hydroxide, and (C) at least one aromatic amine containing at least one primary amino group, a method for its preparation and a method for manufacturing electrical devices, employing the liquid composition as a resist stripping composition and its use for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventor: Andreas Klipp
  • Patent number: 8999068
    Abstract: Provided is a chamber cleaning method capable of efficiently removing a CF-based shoulder deposit containing Si and Al deposited on an outer periphery of an ESC. A mixed gas of an O2 gas and a F containing gas is supplied toward an outer periphery 24a of an ESC 24 at a pressure ranging from about 400 mTorr to about 800 mTorr; plasma generated from the mixed gas is irradiated onto the outer periphery 24a of the ESC 24; an O2 single gas as a mask gas is supplied to the top surface of ESC 24 except the outer periphery 24a; and the shoulder deposit 50 adhered to the outer periphery 24a is decomposed and removed while preventing the top surface of ESC 24 except the outer periphery 24a from being exposed to a F radical.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hidetoshi Hanaoka, Taichi Hirano, Takanori Mimura, Manabu Iwata, Taketoshi Okajo
  • Patent number: 8992689
    Abstract: Methods for removing halogen-containing residues from a substrate are provided. By combining the heat-up and plasma abatement steps, the manufacturing throughput can be improved. Further, by appropriately controlling the pressure in the abatement chamber, the removal efficiency can be improved as well.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Adauto Diaz, Andrew Nguyen, Benjamin Schwarz, Eu Jin Lim, Jared Ahmad Lee, James P. Cruse, Li Zhang, Scott M. Williams, Xiaoliang Zhuang, Zhuang Li
  • Publication number: 20150075558
    Abstract: A method of polishing a surface of an object disposed within a gas chamber is provided. The method includes filling the gas chamber with a discharging medium to a predefined pressure, applying a voltage between an electrode and the surface, calibrating a height of the electrode relative to the surface so as to establish electrical breakdown threshold criteria, and scanning the electrode with respect to the surface so as to sequentially position the electrode over a plurality of locations on the surface, each location characterized by a surface error. When a respective location in the plurality of locations has a surface error that meets the electrical breakdown threshold criteria, electrical breakdown occurs, whereby the electrical breakdown results in a discharging pulse that polishes the surface.
    Type: Application
    Filed: December 3, 2013
    Publication date: March 19, 2015
    Inventor: Kangmin Hsia
  • Patent number: 8957564
    Abstract: Megasonic cleaning systems and methods of fabricating and using the same are provided. In one embodiment, the system comprises a plurality of Micro-Electromechanical System (MEMS) transducers, each transducer including a movable membrane with a membrane electrode coupled to a first potential disposed above and spaced apart from an upper surface of a die including a cavity electrode coupled to a second potential, the membrane including multiple layers including a polysilicon layer between a top silicon nitride layer and a bottom silicon nitride layer, and the membrane electrode includes the polysilicon layer; a chuck on which a target workpiece is positioned; and a fluid to couple sonic energy from the plurality of MEMS transducers to the target workpiece. Other embodiments are also provided.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: February 17, 2015
    Assignee: Silicon Light Machines Corporation
    Inventors: Toshio Hiroe, Zarem Harold, Alexander Payne, James Hunter
  • Patent number: 8957006
    Abstract: A chemical solution for use in cleaning a patterned substrate includes water, from approximate 0.01 to 99.98 percent by weight; hydrogen peroxide, from 0 to 30 percent by weight; a pH buffering agent, from approximate 0.01 to 50 percent by weight; a metal chelating agent, from approximate 0 to 10 percent by weight; and a compound for lowering a surface tension of the combination of water, hydrogen peroxide, pH buffering agent, and metal chelating agent. Examples of the compound include an organic solvent, from approximate 0 to 95 percent by weight, or a non-ionic surfactant agent, from approximate 0 to 2 percent by weight.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: February 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Yuan Ting, Jeng-Shiou Chen
  • Patent number: 8945412
    Abstract: A substrate cleaning apparatus is capable of cleaning an entire periphery of a substrate end portion at a time by simple control without polishing the end portion and without generating plasma. The substrate cleaning apparatus has a mounting table 204 on which a wafer W is placed, a heating unit 210 for heating a wafer end portion, ultraviolet application unit 220 for applying ultraviolet to the wafer end portion, and a gas flow forming unit 230 for forming a gas flow on the surface of the wafer end portion. The heating unit, the ultraviolet application unit, and the gas flow forming unit are disposed near the wafer end portion so as to surround the wafer.
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kawamura, Teruyuki Hayashi
  • Publication number: 20150031189
    Abstract: Embodiments of mechanisms for cleaning a surface of a semiconductor wafer for a hybrid bonding are provided. The method for cleaning a surface of a semiconductor wafer for a hybrid bonding includes providing a semiconductor wafer, and the semiconductor wafer has a conductive pad embedded in an insulating layer. The method also includes performing a plasma process to a surface of the semiconductor wafer, and metal oxide is formed on a surface of the conductive structure. The method further includes performing a cleaning process using a cleaning solution to perform a reduction reaction with the metal oxide, such that metal-hydrogen bonds are formed on the surface of the conductive structure. The method further includes transferring the semiconductor wafer to a bonding chamber under vacuum for hybrid bonding. Embodiments of mechanisms for a hybrid bonding and a integrated system are also provided.
    Type: Application
    Filed: July 24, 2013
    Publication date: January 29, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sheng-Chau Chen, Chih-Hui Huang, Yeur-Luen Tu, Cheng-Ta Wu, Chia-Shiung Tsai, Xiao-Meng Chen
  • Patent number: 8940098
    Abstract: A plasma etch processing chamber configured to clean a bevel edge of a substrate is provided. The chamber includes a bottom edge electrode and a top edge electrode defined over the bottom edge electrode. The top edge electrode and the bottom edge electrode are configured to generate a cleaning plasma to clean the bevel edge of the substrate. The chamber includes a gas feed defined through a top surface of the processing chamber. The gas feed introduces a processing gas for striking the cleaning plasma at a location in the processing chamber that is between an axis of the substrate and the top edge electrode. A pump out port is defined through the top surface of the chamber and the pump out port located along a center axis of the substrate. A method for cleaning a bevel edge of a substrate is also provided.
    Type: Grant
    Filed: July 2, 2013
    Date of Patent: January 27, 2015
    Assignee: Lam Research Corporation
    Inventors: Greg Sexton, Andrew D. Bailey, III, Alan Schoepp
  • Publication number: 20150020848
    Abstract: A lower electrode plate receives radiofrequency power. A first upper plate is positioned parallel to and spaced apart from the lower electrode plate. A grounded second upper plate is positioned next to the first upper plate. A dielectric support provides support of a workpiece within a region between the lower electrode plate and the first upper plate. A purge gas is supplied at a central location of the first upper plate. A process gas is supplied to a periphery of the first upper plate. The dielectric support positions the workpiece proximate and parallel to the first upper plate, such that the purge gas flows over a top surface of the workpiece so as to prevent the process gas from flowing over the top surface of the workpiece, and so as to cause the process gas to flow around a peripheral edge of the workpiece and below the workpiece.
    Type: Application
    Filed: September 19, 2013
    Publication date: January 22, 2015
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Keechan Kim, Jack Chen, Yunsang Kim, Kenneth George Delfin
  • Patent number: 8932406
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 13, 2015
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, Jr.
  • Patent number: 8911558
    Abstract: A post-W CMP cleaning solution consists of carboxylic acid and deionized water. The carboxylic acid may be selected from the group consisting of (1) monocarboxylic acids; (2) dicarboxylic acids; (3) tricarboxylic acids; (4) polycarboxylic acids; (5) hydroxycarboxylic acids; (6) salts of the above-described carboxylic acids; and (7) any combination thereof. The post-W CMP cleaning solution can work well without adding any other chemical additives such as surfactants, corrosion inhibitors, pH adjusting agents or chelating agents.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 16, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Hongqi Li, Anurag Jindal, Jin Lu
  • Patent number: 8906164
    Abstract: Methods for stabilizing a ceramic contact surface of an electrostatic chuck, wherein the electrostatic chuck can be disposed within a reaction chamber of a semiconductor wafer processing assembly including a radio frequency source and a coolant gas supply are described herein. The method may include: clamping electrostatically a conditioning wafer to the ceramic contact surface of the electrostatic chuck; and cycling an output power of the radio frequency source and an output pressure of the coolant gas supply for multiple hot/cold cycles. Each of the hot/cold cycles includes a hot abrasion state and a cold abrasion state. At the hot abrasion state, the output power of the radio frequency source is relatively high and the output pressure of the coolant gas supply is relatively low to yield a relatively hot conditioning wafer.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Chris Kimball, Tom Stevenson, Peter Muraoka
  • Patent number: 8900371
    Abstract: The present invention provides a cleaning agent for a substrate and a cleaning method thereof, which can effectively remove fine particles (particles) present on a surface of substrate or impurities derived from various kinds of metals (metallic impurities), without causing roughness surface of a substrate, in particular, a semiconductor substrate, and without causing corrosion or oxidation of metal wirings, in particular, copper wirings, provided on a surface of substrate, and can further remove at the same time a carbon defect present on a surface of substrate, without removing a metal corrosion inhibitor—Cu film, in particular, a Cu-BTA film.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: December 2, 2014
    Assignee: Wako Pure Chemical Industries, Ltd.
    Inventors: Hironori Mizuta, Masahiko Kakizawa, Ichiro Hayashida
  • Patent number: 8883699
    Abstract: Disclosed herein is a resist stripping composition, which has an excellent ability of stripping a residual resist remaining after dry or wet etching at the tune of forming patterns in a process of manufacturing a flat panel display substrate.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: November 11, 2014
    Assignee: Dongwoo Fine-Chem Co., Ltd.
    Inventors: Jeong-Hyun Kim, Kyung-Jun Ko, Sung-Sik Kim, Yu-Jin Lee
  • Patent number: 8859432
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: October 14, 2014
    Assignee: Lam Research Corporation
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Publication number: 20140295665
    Abstract: Native oxides and associated residue are removed from surfaces of a substrate by sequentially performing two plasma cleaning processes on the substrate in a single processing chamber. The first plasma cleaning process removes native oxide formed on a substrate surface by generating a cleaning plasma from a mixture of ammonia (NH3) and nitrogen trifluoride (NF3) gases, condensing products of the cleaning plasma on the native oxide to form a thin film that contains ammonium hexafluorosilicate ((NH4)2SiF6), and subliming the thin film off of the substrate surface. The second plasma cleaning process removes remaining residues of the thin film by generating a second cleaning plasma from nitrogen trifluoride gas. Products of the second cleaning plasma react with a few angstroms of the bare silicon present on the surface, forming silicon tetrafluoride (SiF4) and lifting off residues of the thin film.
    Type: Application
    Filed: June 12, 2014
    Publication date: October 2, 2014
    Inventors: Bo ZHENG, Arvind SUNDARRAJAN, Xinyu FU
  • Publication number: 20140272459
    Abstract: Components of semiconductor material processing chambers are disclosed, which may include a substrate and at least one corrosion-resistant coating formed on a surface thereof. The at least one corrosion-resistant coating is a high purity metal coating formed by a cold-spray technique. An anodized layer can be formed on the high purity metal coating. The anodized layer comprises a process-exposed surface of the component. Semiconductor material processing apparatuses including one or more of the components are also disclosed, the components being selected from the group consisting of a chamber liner, an electrostatic chuck, a focus ring, a chamber wall, an edge ring, a plasma confinement ring, a substrate support, a baffle, a gas distribution plate, a gas distribution ring, a gas nozzle, a heating element, a plasma screen, a transport mechanism, a gas supply system, a lift mechanism, a load lock, a door mechanism, a robotic arm and a fastener.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: John Daugherty, Hong Shih, Lin Xu, Anthony Amadio, Robert G. O'Neill, Peter Holland, Sivakami Ramanathan, Tae Won Kim, Duane Outka, John Michael Kerns, Sonia Castillo
  • Publication number: 20140273309
    Abstract: Remote-plasma treatments of surfaces, for example in semiconductor manufacture, can be improved by preferentially exposing the surface to only a selected subset of the plasma species generated by the plasma source. The probability that a selected species reaches the surface, or that an unselected species is quenched or otherwise converted or diverted before reaching the surface, can be manipulated by introducing additional gases with selected properties either at the plasma source or in the process chamber, varying chamber pressure or flow rate to increase or decrease collisions, or changing the dimensions or geometry of the injection ports, conduits and other passages traversed by the species. Some example processes treat surfaces preferentially with relatively low-energy radicals, vary the concentration of radicals at the surface in real time, or clean and passivate in the same unit process.
    Type: Application
    Filed: October 10, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular, Inc.
    Inventors: Sandip Niyogi, Sean Barstow, Jay Dedontney, Chi-I Lang, Ratsamee Limdulpaiboon, Martin Romero, Sunil Shanker, James Tsung, J. Watanabe
  • Publication number: 20140242804
    Abstract: The present disclosure relates to a method and apparatus for performing a dry plasma procedure, while mitigating internal contamination of a semiconductor substrate. In some embodiments, the apparatus includes a semiconductor processing tool having a dry process stage with one or more dry process elements that perform a dry plasma procedure on a semiconductor substrate received from an input port. A wafer transport system transports the semiconductor substrates from the dry process stage to a wet cleaning stage located downstream of the dry process stage. The wet cleaning stage has one or more wet cleaning elements that perform a wet cleaning procedure to remove contaminants from a surface of the semiconductor substrates before the semiconductor substrate is provided to an output port. The wet cleaning procedure prior removes internal contaminants of the dry process procedure from the semiconductor substrate and thereby improves wafer manufacturing quality.
    Type: Application
    Filed: February 27, 2013
    Publication date: August 28, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Shao-Yen Ku, Tsai-Pao Su, Wen-Chang Tsai, Chia-Wen Li, Yu-Yen Hsu
  • Patent number: 8802610
    Abstract: A method of cleaning a substrate having a metal layer including copper or a copper-containing alloy, the method including cleaning the substrate using a cleaning liquid that includes a mercapto compound represented by one or both of the following formulas (1) and (2), and a solvent containing water and a water-soluble organic solvent: in which R represents a substituent group; m is an integer of 1 to 3; and n is an integer of 0 to 3, when m is 2 or 3, R may be the same or different; HS—(CH2)x—OH??(2), in which x is an integer of no less than 3.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: August 12, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tomoya Kumagai, Takuya Ohhashi, Takahiro Eto, Daijiro Mori, Takayuki Haraguchi
  • Publication number: 20140190513
    Abstract: Provided is a substrate treatment apparatus. The substrate treatment apparatus includes a load port on which a carrier accommodating a plurality of substrates to which a back-ground wafer is attached to a mounting tape fixed to a frame ring is placed, a plasma treatment unit supplying plasma to treat a top surface of the wafer, and a substrate transfer unit transferring the substrate between the carrier and the plasma treatment unit.
    Type: Application
    Filed: January 2, 2014
    Publication date: July 10, 2014
    Inventors: Jongjin Lee, Bum Joon Park, Tae Hoon Kim, Chang Weon Lee, Sunwoong Yim, Han Kyu Lee
  • Patent number: 8764905
    Abstract: A method and system for cleaning lithography components including contacting a substrate having residue including organic compounds and graphitic carbon deposited on a surface thereof with hydrogen peroxide vapor. The hydrogen peroxide vapor is irradiated with electromagnetic radiation having a wavelength in the range of 100 nm to 350 nm forming hydroxyl radicals. The hydroxyl radicals react with the residue to remove the residue from the surface of the substrate.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: July 1, 2014
    Assignee: Intel Corporation
    Inventors: Paul A. Zimmerman, Christof G. Krautschik
  • Patent number: 8753449
    Abstract: Embodiments of the invention provide methods for curing an ultra low-k dielectric film within a UV processing chamber. In one embodiment, the method includes depositing an ultra low-k dielectric layer on a substrate in a deposition chamber, and subjecting the deposited ultra low-k dielectric layer to a UV curing processes in a UV processing chamber. The method includes stabilizing the UV processing chamber by flowing an oxygen gas and a purge gas into the UV processing chamber at a flow ratio of about 1:50000 to about 1:100. While flowing the oxygen-doped purge gas, the substrate is exposed to UV radiation to cure the deposited ultra low-k dielectric layer. The inventive oxygen-doped purge curing process provides an alternate pathway to build silicon-oxygen network of the ultra low-k dielectric material, thereby accelerating cross-linking efficiency without significantly affecting the film properties of the deposited ultra low-k dielectric material.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: June 17, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Mahendra Chhabra, Scott A. Hendrickson, Sanjeev Baluja, Tsutomu Kiyohara, Juan Carlos Rocha-Alvarez, Alexandros T. Demos
  • Publication number: 20140144462
    Abstract: Embodiments of the invention generally relate to a method of cleaning a substrate and a substrate processing apparatus that is configured to perform the method of cleaning the substrate. More specifically, embodiments of the present invention relate to a method of cleaning a substrate in a manner that reduces or eliminates the negative effects of line stiction between semiconductor device features. Other embodiments of the present invention relate to a substrate processing apparatus that allows for cleaning of the substrate in a manner that reduces or eliminates line stiction between semiconductor device features formed on the substrate.
    Type: Application
    Filed: November 12, 2013
    Publication date: May 29, 2014
    Inventors: Steven VERHAVERBEKE, Han-Wen CHEN, Roman GOUK
  • Patent number: 8728941
    Abstract: Disclosed is a thin-film transistor (10) manufacturing method that includes a process for forming a nitrate film (12x) that includes residual nickel (22) on a surface thereof, by bringing a nitric acid solution into contact with a polysilicon layer (11x); and a process for removing the nitrate film (12x) that includes residual nickel (22) from the polysilicon layer (11x) surface. With this surface treatment process, a polysilicon layer (11) with reduced concentration of a surface residual nickel (22) is provided, and a thin-film transistor (10) having excellent surface smoothness is attained.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: May 20, 2014
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Shigeki Imai, Takafumi Shimatani, Hikaru Kobayashi
  • Patent number: 8721797
    Abstract: Improved methods and apparatus for stripping photoresist and removing ion implant related residues from a work piece surface are provided. According to various embodiments, the workpiece is exposed to a passivation plasma, allowed to cool for a period of time, and then exposed to an oxygen-based or hydrogen-based plasma to remove the photoresist and ion implant related residues. Aspects of the invention include reducing silicon loss, leaving little or no residue while maintaining an acceptable strip rate. In certain embodiments, methods and apparatus remove photoresist material after high-dose ion implantation processes.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: May 13, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Haoquan Fang, Jack Kuo, Ilia Kalinovski, Ted Li, Andrew Yao
  • Patent number: 8721908
    Abstract: A bevel etcher incorporating a vacuum chuck used for cleaning the bevel edge and for reducing the bending curvature of a semiconductor substrate. The bevel etcher includes a vacuum chuck and a plasma generation unit which energizes process gas into a plasma state. The vacuum chuck includes a chuck body and a support ring. The top surface of the chuck body and inner periphery of the support ring form a vacuum region enclosed by the bottom surface of a substrate mounted on the support ring. A vacuum pump evacuates the vacuum region during operation. The vacuum chuck is operative to hold the substrate in place by the pressure difference between the top and bottom surfaces of the substrate. The pressure difference also generates a bending force to reduce the bending curvature of the substrate.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: May 13, 2014
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Alan M. Schoepp, Gregory Sexton, William S. Kennedy
  • Publication number: 20140109930
    Abstract: A method for in-situ dry cleaning of a SiGe semiconductor surface doses the SiGe surface with ex-situ wet HF in a clean ambient environment or in-situ dosing with gaseous NH4F to remove oxygen containing contaminants. Dosing the SiGe surface with atomic H removes carbon containing contaminants. Low temperature annealing pulls the surface flat. Passivating the SiGe semiconductor surface with H2O2 vapor for a sufficient time and concentration forms an a oxygen monolayer(s) of —OH sites on the SiGe. Second annealing the SiGe semiconductor surface is conducted at a temperature below that which would induce dopant diffusion. A method for in-situ dry cleaning of a SiGe semiconductor surface, ex-situ degreases the Ge containing semiconductor surface and removes organic contaminants. The surface is then dosed with HF(aq) or NH4F(g) generated via NH3+NH or NF3 with H2 or H2O to remove oxygen containing contaminants. In-situ dosing of the SiGe surface with atomic H removes carbon containing contaminants.
    Type: Application
    Filed: October 24, 2013
    Publication date: April 24, 2014
    Applicant: The Regents of the University of California
    Inventors: Tobin Kaufman-Osborn, Andrew C. Kummel, Kiarash Kiantaj
  • Patent number: 8696825
    Abstract: A rinsing liquid (DIW) is discharged from a rinsing liquid discharge port formed in a blocking member to perform rinsing processing to a substrate surface while a nitrogen gas is supplied into a clearance space, and a liquid mixture (IPA+DIW) is discharged from a liquid mixture discharge port formed in the blocking member to replace the rinsing liquid adhering to the substrate surface with the liquid mixture while the nitrogen gas is supplied into the clearance space. Thus, an increase of the dissolved oxygen concentration of the liquid mixture can be suppressed upon replacing the rinsing liquid adhering to the substrate surface with the liquid mixture, which makes it possible to securely prevent from forming an oxide film or generating watermarks on the substrate surface.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: April 15, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Katsuhiko Miya, Akira Izumi
  • Publication number: 20140060572
    Abstract: In a plasma processing apparatus, a mounting table is provided in a processing chamber, and a remote plasma generating unit is configured to generate an excited gas by exiting a hydrogen-containing gas. The remote plasma generating unit has an outlet for discharging the excited gas. A diffusion unit is provided to correspond to the outlet of the remote plasma generating unit and serves to receive the excited gas flowing from the outlet and diffuse the hydrogen active species having a reduced amount of hydrogen ions. An ion filter is disposed between the diffusion unit and the mounting table while being separated from the diffusion unit. The ion filter serves to capture the hydrogen ions contained in the hydrogen active species diffused by the diffusion unit and allow the hydrogen active species having a further reduced amount of hydrogen ions to pass therethrough the mounting table.
    Type: Application
    Filed: August 26, 2013
    Publication date: March 6, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chiaki YASUMURO, Takashi Sakuma, Osamu Yokoyama, Hiroyuki Toshima, Masamichi Hara, Cheonsoo Han, Morihiro Takanashi, Toshiaki Fujisato
  • Patent number: 8657961
    Abstract: Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals.
    Type: Grant
    Filed: April 4, 2013
    Date of Patent: February 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Alexandros T. Demos, Scott A. Hendrickson, Sanjeev Baluja, Juan Carlos Rocha-Alvarez
  • Patent number: 8652970
    Abstract: A processing gas is introduced to remove an oxide film on the surface of a silicon substrate 5. F radicals are allowed to act on the surface of the silicon substrate to etch a silicon layer. Then, NH3 gas, N2 gas and NF3 gas are introduced, allowing NHxFy to act on the oxidized surface of the silicon substrate 5, thereby forming (NH4)2SiF6. The resulting (NH4)2SiF6 is sublimated to remove by-products (SiOF, SiOH) on the surface of the silicon substrate 5.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: February 18, 2014
    Assignee: Ulvac, Inc.
    Inventors: Yoshiyasu Tajima, Seiichi Takahashi, Kyuzo Nakamura
  • Patent number: 8647439
    Abstract: Methods of preparing a clean surface of germanium tin or silicon germanium tin layers for subsequent deposition are provided. An overlayer of Ge, doped Ge, another GeSn or SiGeSn layer, a doped GeSn or SiGeSn layer, an insulator, or a metal can be deposited on a prepared GeSn or SiGeSn layer by positioning a substrate with an exposed germanium tin or silicon germanium tin layer in a processing chamber, heating the processing chamber and flowing a halide gas into the processing chamber to etch the surface of the substrate using either thermal or plasma assisted etching followed by depositing an overlayer on the substantially oxide free and contaminant free surface. Methods can also include the placement and etching of a sacrificial layer, a thermal clean using rapid thermal annealing, or a process in a plasma of nitrogen trifluoride and ammonia gas.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Errol Antonio C. Sanchez, Yi-Chiau Huang
  • Patent number: 8641828
    Abstract: A cleaning method of a semiconductor manufacturing process is provided. The cleaning method is applied to a semiconductor component including a plurality of material layers formed thereon. An opening is defined in the material layers, and a side wall is exposed from the opening. The side wall at least includes a first material layer and a second material layer. At first, a first cleaning process is performed till a lateral etched thickness of the first material layer is equal to a lateral etched thickness of the second material layer. Then, a byproduct formed in the first cleaning process is removed.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: February 4, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Teng-Chun Tsai, Kuo-Chih Lai, Shu-Min Huang
  • Publication number: 20130316533
    Abstract: Native oxides and associated residue are removed from surfaces of a substrate by sequentially performing two plasma cleaning processes on the substrate in a single processing chamber. The first plasma cleaning process removes native oxide formed on a substrate surface by generating a cleaning plasma from a mixture of ammonia (NH3) and nitrogen trifluoride (NF3) gases, condensing products of the cleaning plasma on the native oxide to form a thin film that contains ammonium hexafluorosilicate ((NH4)2SiF6), and subliming the thin film off of the substrate surface. The second plasma cleaning process removes remaining residues of the thin film by generating a second cleaning plasma from nitrogen trifluoride gas. Products of the second cleaning plasma react with a few angstroms of the bare silicon present on the surface, forming silicon tetrafluoride (SiF4) and lifting off residues of the thin film.
    Type: Application
    Filed: May 31, 2013
    Publication date: November 28, 2013
    Inventors: Bo ZHENG, Arvind SUNDARRAJAN, Xinyu FU
  • Patent number: 8591661
    Abstract: Improved methods for stripping photoresist and removing etch-related residues from dielectric materials are provided. In one aspect of the invention, methods involve removing material from a dielectric layer using a hydrogen-based etch process employing a weak oxidizing agent and fluorine-containing compound. Substrate temperature is maintained at a level of about 160° C. or less, e.g., less than about 90° C.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: November 26, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Ted Li, Anirban Guha, Kirk Ostrowski
  • Publication number: 20130298942
    Abstract: Methods of removing residual polymer from vertical walls of a patterned dielectric layer are described. The methods involve the use of a gas phase etch to remove the residual polymer without substantially disturbing the patterned dielectric layer. The gas phase etch may be used on a patterned low-k dielectric layer and may maintain the low dielectric constant of the patterned dielectric layer. The gas phase etch may further avoid stressing the patterned low-k dielectric layer by avoiding the use of liquid etchants whose surface tension can upset delicate low-K features. The gas phase etch may further avoid the formation of solid etch by-products which cars also deform the delicate features.
    Type: Application
    Filed: March 8, 2013
    Publication date: November 14, 2013
    Applicant: Applied Materials, Inc.
    Inventors: He Ren, Nitin K. Ingle, Anchuan Wang
  • Publication number: 20130302918
    Abstract: A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality 0.7×n/4?D?1.3×n/4 (n being a natural number).
    Type: Application
    Filed: July 17, 2013
    Publication date: November 14, 2013
    Inventors: Tadahiro OHMI, Kazuhide Ino, Takahiro Arakawa
  • Patent number: 8574369
    Abstract: A method for removing a resist on a substrate includes supplying unsaturated hydrocarbon gas or fluorine substitution product gas of unsaturated hydrocarbon, at a lower pressure than an atmospheric pressure, to a system of reaction capable of heating the substrate and supplying ozone gas at a lower pressure than the atmospheric pressure to the system of reaction. The ozone gas is an ultra-high concentration ozone gas obtained by separating only ozone from ozone-containing gas by a difference of vapor pressure through liquefaction separation and by vaporizing a liquefaction-separated ozone again. The substrate may be cleaned with pure water. A susceptor that holds the substrate is provided in a chamber of the system of reaction and is heated by a light source that emits infrared light. An internal pressure of the chamber is controlled so that a temperature of the substrate is 90° C. or less.
    Type: Grant
    Filed: November 20, 2008
    Date of Patent: November 5, 2013
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Publication number: 20130276821
    Abstract: A plasma etch processing chamber configured to clean a bevel edge of a substrate is provided. The chamber includes a bottom edge electrode and a top edge electrode defined over the bottom edge electrode. The top edge electrode and the bottom edge electrode are configured to generate a cleaning plasma to clean the bevel edge of the substrate. The chamber includes a gas feed defined through a top surface of the processing chamber. The gas feed introduces a processing gas for striking the cleaning plasma at a location in the processing chamber that is between an axis of the substrate and the top edge electrode. A pump out port is defined through the top surface of the chamber and the pump out port located along a center axis of the substrate. A method for cleaning a bevel edge of a substrate is also provided.
    Type: Application
    Filed: July 2, 2013
    Publication date: October 24, 2013
    Inventors: Greg Sexton, Andrew D. Bailey, III, Alan Schoepp
  • Patent number: 8562750
    Abstract: A method and apparatus for processing a bevel edge is provided. A substrate is placed in a bevel processing chamber and a passivation layer is formed on the substrate only around a bevel region of the substrate using a passivation plasma confined in a peripheral region of the bevel processing chamber. The substrate may undergo a subsequent semiconductor process, during which the bevel edge region of the substrate is protected by the passivation layer. Alternatively, the passivation layer may be patterned using a patterning plasma formed in an outer peripheral region of the processing chamber, the patterning plasma being confined by increasing plasma confinement. The passivation layer on outer edge portion of the bevel region is removed, while the passivation layer on an inner portion of the bevel region is maintained. The bevel edge of the substrate may be cleaned using the patterned passivation layer as a protective mask.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: October 22, 2013
    Assignee: Lam Research Corporation
    Inventors: Jack Chen, Yunsang Kim
  • Patent number: 8557709
    Abstract: In a plasma processing apparatus comprising a processing chamber arranged in a vacuum chamber, a sample stage arranged under the processing chamber and having its top surface on which a wafer to be processed is mounted, a vacuum decompression unit for evacuating the interior of the processing chamber to reduce the pressure therein, and introduction holes arranged above said sample stage to admit process gas into the processing chamber, the wafer having its top surface mounted with a film structure and the film structure being etched by using plasma formed by using the process gas, the film structure is constituted by having a resist film or a mask film, a poly-silicon film and an insulation film laminated in this order from top to bottom on a substrate and before the wafer is mounted on the sample stage and the poly-silicon film underlying the mask film is etched, plasma is formed inside the processing chamber to cover the surface of members inside the processing chamber with a coating film containing a compo
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: October 15, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahiro Sumiya, Motohiro Tanaka
  • Patent number: 8534302
    Abstract: A system is provided for cleaning of probe contacts. The system has a base plate with three mounting means, such as openings, for receiving each an adjustable attachment, and means, such as further openings, for connecting the base plate to a probe machine; and a cleaning plate having on a bottom side three holding means, such as openings, for receiving the respective adjustable attachment, and a top area for supporting a cleaning device, wherein the holding means do not reach into a top area of the cleaning plate; and wherein the adjustable attachment means allows the top area to be leveled.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: September 17, 2013
    Assignee: Microchip Technology Incorporated
    Inventor: Robert A. Richmond
  • Patent number: 8529783
    Abstract: A method for preventing the formation of contaminating polymeric films on the backsides of semiconductor substrates includes providing an oxygen-impregnated focus ring and/or an oxygen-impregnated chuck that releases oxygen during etching operations. The method further provides delivering oxygen gas to the substrate by mixing oxygen in the cooling gas mixture, maintaining the focus ring at a temperature no greater than the substrate temperature during etching and cleaning the substrate using a two step plasma cleaning sequence that includes suspending the substrate above the chuck.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: September 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Ming Chen, Chun-Li Chou, Chao-Cheng Chen, Hun-Jan Tao
  • Publication number: 20130213434
    Abstract: A method for eliminating contact bridge in a contact hole process is disclosed, wherein a cleaning menu comprising a multi-step adaptive protective thin film deposition process is provided, so that a stack adaptive protective thin film is formed on the sidewall of the chamber of the HDP CVD equipment. The stack adaptive protective thin film has good adhesivity, compactness and uniformity to protect the sidewall of the chamber of the HDP CVD equipment from being damaged by the plasma, and avoid the generation of defect particles, thereby improving the HDP CVD technical yield and eliminating the contact bridge phenomenon in the contact hole process.
    Type: Application
    Filed: November 28, 2011
    Publication date: August 22, 2013
    Inventors: Guilei Wang, Junfeng Li, Chao Zhao
  • Patent number: 8500913
    Abstract: Some embodiments include utilization of both plasma and aerosol to treat substrate surfaces. The plasma and aerosol may be utilized simultaneously, or sequentially. In some embodiments, the plasma forms a plasma sheath over the substrate surfaces, with the plasma sheath having an electric field gradient therein. The aerosol comprises liquid particles charged to a polarity, and such polarity is transferred to contaminants on the substrate surfaces through interaction with the aerosol. The polarity may be used to assist in dislodging the contaminants from the substrate surfaces. The electric field of the plasma sheath may then sweep the contaminants away from the substrate surfaces. In some embodiments, multiple different aerosols are formed to remove multiple different types of materials from substrate surfaces. Some embodiments include apparatuses configured for treating substrate surfaces with both plasma and aerosol.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: August 6, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Mark Kiehlbauch, Paul A. Morgan, Gurtej S. Sandhu