Semiconductor Cleaning Patents (Class 134/1.2)
  • Publication number: 20130189849
    Abstract: A particle reducing method includes a step of supplying a first gas to a vacuum chamber in which a susceptor, formed by an insulating object and the surface of which is provided with a substrate mounting portion, is rotatably provided; a step of generating plasma from the first gas by supplying high frequency waves to a plasma generating device provided for the vacuum chamber; and a step of exposing the substrate mounting portion, on which a substrate is not mounted, to the plasma while rotating the susceptor.
    Type: Application
    Filed: January 17, 2013
    Publication date: July 25, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Patent number: 8486198
    Abstract: This invention relates to a method of processing substrates including: (a) etching, in a chamber, a generally vertical structure in a substrate using a cyclic process including an etch step using a reactive etch gas and a deposition step for depositing a protective polymer on to the side walls of that part of the structure which has already been etched by a preceding etch step or steps; and (b) cleaning, in the absence of any substrate, the chamber of material deposited thereon by the performance of the deposition step in step (a) characterized in that following the cleaning of the deposition derived material, the chamber is cleaned of material derived from the etchant gas by exposing the chamber to a plasma containing a mixture of O2 and at least the active element of elements of the etchant gas.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: July 16, 2013
    Assignee: Aviza Technology Limited
    Inventors: Nicholas John Appleyard, Kevin Powell
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8475666
    Abstract: A toughening agent composition for increasing the hydrophobicity of an organosilicate glass dielectric film when applied to said film. It includes a component capable of alkylating or arylating silanol moieties of the organosilicate glass dielectric film via silylation, and an activating agent selected from the group consisting of an amine, an onium compound and an alkali metal hydroxide.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: July 2, 2013
    Assignee: Honeywell International Inc.
    Inventors: Teresa A. Ramos, Robert R. Roth, Anil S. Bhanap, Paul G. Apen, Denis H. Endisch, Brian J. Daniels, Ananth Naman, Nancy Iwamoto, Roger Y. Leung
  • Publication number: 20130160795
    Abstract: In some embodiments, the present disclosure relates to a plasma etching system having direct and localized plasma sources in communication with a processing chamber. The direct plasma is operated to provide a direct plasma to the processing chamber for etching a semiconductor workpiece. The direct plasma has a high potential, formed by applying a large bias voltage to the workpiece. After etching is completed the bias voltage and direct plasma source are turned off. The localized plasma source is then operated to provide a low potential, localized plasma to a position within the processing chamber that is spatially separated from the workpiece. The spatial separation results in formation of a diffused plasma having a zero/low potential that is in contact with the workpiece. The zero/low potential of the diffused plasma allows for reactive ashing to be performed, while mitigating workpiece damage resulting from ion bombardment caused by positive plasma potentials.
    Type: Application
    Filed: December 27, 2011
    Publication date: June 27, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ying Xiao, Chin-Hsiang Lin
  • Patent number: 8470095
    Abstract: A process for surface preparation of a substrate (2), which comprises introducing or running a substrate (2) into a reaction chamber (6, 106). A dielectric barrier (14, 114) is placed between electrodes (1, 10, 110). A high-frequency electrical voltage is generated, to generate filamentary plasma (12, 112). Molecules (8, 108) are introduced into the reaction chamber (6, 106). Upon contact with the plasma, they generate active species typical of reacting with the surface of the substrate. An adjustable inductor (L) placed in parallel with the inductor of the installation is employed to reduce the phase shift between the voltage and the current generated and to increase the time during which the current flows in the plasma (12, 112).
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 25, 2013
    Assignee: AGC Glass Europe
    Inventors: Eric Tixhon, Joseph Leclercq, Eric Michel
  • Patent number: 8444869
    Abstract: A method and apparatus for cleaning a wafer. The wafer is heated and moved to a processing station within the apparatus that has a platen either permanently in a platen down position or is transferable from a platen up position to the platen down position. The wafer is positioned over the platen so as not to contact the platen and provide a gap between the platen and wafer. The gap may be generated by positioning the platen in a platen down position. A plasma flows into the gap to enable the simultaneous removal of material from the wafer front side, backside and edges. The apparatus may include a single processing station having the gap residing therein, or the apparatus may include a plurality of processing stations, each capable of forming the gap therein for simultaneously removing additional material from the wafer front side, backside and edges.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: May 21, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung
  • Patent number: 8440573
    Abstract: A method is provided for processing a wafer used in fabricating semiconductor devices. The method can comprise forming high-aspect ratio features on the wafer, which is followed by wet processing and drying. During drying, pattern collapse can occur. This pattern collapse can be repaired to allow for additional processing of the wafer. In some instance, pattern collapse can be repaired via etching where the etching breaks bonds that can have formed during pattern collapse.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: May 14, 2013
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin, Qian Fu, Glenn W. Gale, Shenjian Liu, Mark H. Wilcoxson
  • Patent number: 8431516
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a metal ion free base, and a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: April 30, 2013
    Inventor: Wai Mun Lee
  • Patent number: 8431333
    Abstract: A photosensitive composition remover used for removal of an uncured photosensitive composition, which remover includes 1 to 80 percent by mass of at least one type of aromatic hydrocarbon having 9 carbon atoms or more within the molecule. The photosensitive composition remover further includes an aprotic polar solvent and/or another solvent other than aprotic polar solvents. The photosensitive composition remover is effective for removal of an uncured photosensitive composition film deposited at the periphery, edges, or back of a substrate or removal of an uncured photosensitive composition deposited at the surface of system members or equipment in a process for forming a photosensitive composition film on a glass substrate, a semiconductor wafer, or the like.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 30, 2013
    Assignee: Showa Denko K.K.
    Inventors: Masato Kaneda, Yasuhiro Mikawa, Koji Shimizu, Kouichi Terao
  • Patent number: 8404052
    Abstract: A method for cleaning the surface of a silicon substrate, covered by a layer of silicon oxide includes: a) exposing the surface for 60 to 900 seconds to a radiofrequency plasma, generated from a fluorinated gas, to strip the silicon oxide layer and induce the adsorption of fluorinated elements on the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the fluorinated gas pressure being 10 mTorrs to 200 mTorrs, and the substrate temperature being lower than or equal to 300° C.; and b) exposing the surface including the fluorinated elements for 5 to 120 seconds to a hydrogen radiofrequency plasma, to remove the fluorinated elements from the substrate surface, the power density generated using the plasma being 10 mW/cm2 to 350 mW/cm2, the hydrogen pressure being 10 mTorrs to 1 Torr, and the substrate temperature being lower than or equal to 300° C.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: March 26, 2013
    Assignees: Centre National de la Recherche Scientifique, Ecole Polytechnique
    Inventors: Pere Roca I Cabarrocas, Mario Moreno
  • Patent number: 8398778
    Abstract: A method of cleaning a bevel edge of a semiconductor substrate is provided. A semiconductor substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. The substrate has a dielectric layer overlying a top surface and a bevel edge of the substrate, the layer extending above and below an apex of the bevel edge. A process gas is introduced into the reaction chamber and energized into a plasma. The bevel edge is cleaned with the plasma so as to remove the layer below the apex without removing all of the layer above the apex.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: March 19, 2013
    Assignee: Lam Research Corporation
    Inventors: Tong Fang, Yunsang Kim, Keechan Kim, George Stojakovic
  • Publication number: 20130056023
    Abstract: Disclosed is a liquid chemical for forming a water repellent protective film on a wafer that has at its surface a finely uneven pattern and contains silicon element at least at a part of the uneven pattern, the water repellent protective film being formed at least on surfaces of recessed portions of the uneven pattern at the time of cleaning the wafer. The liquid chemical contains: a silicon compound (A) represented by the general formula R1aSi(H)b(X)4?a?b and an acid; or a silicon compound (C) represented by the general formula R7gSi(H)h(CH3)w(Z)4?g?h?w and a base that contains no more than 35 mass % of water. The total amount of water in the liquid chemical is no greater than 1000 mass ppm relative to the total amount of the liquid chemical. The liquid chemical can improve a cleaning step that easily induces pattern collapse.
    Type: Application
    Filed: May 11, 2011
    Publication date: March 7, 2013
    Applicant: Central Glass Company, Limited
    Inventors: Soichi Kumon, Takashi Saio, Shinobu Arata, Masanori Saito, Atsushi Ryokawa, Shuhei Yamada, Hidehisa Nanai, Yoshinori Akamatsu
  • Publication number: 20130052757
    Abstract: Methods for optimizing a plasma process are provided. The method may include obtaining a measurement spectrum from a plasma reaction in a chamber, calculating a normalized measurement standard and a normalized measurement spectrum of the measurement spectrum, comparing the normalized measurement spectrum with a normalized reference spectrum, and comparing the normalized measurement standard with a normalized reference standard to determine whether to change a process parameter of the plasma process or clean the chamber when the normalized measurement spectrum and the normalized reference spectrum are mismatched.
    Type: Application
    Filed: August 30, 2012
    Publication date: February 28, 2013
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Sangwuk Park, Kye Hyun Baek, Kyoungsub Shin, Brad H. Lee
  • Patent number: 8377216
    Abstract: A vacuum processing apparatus includes a vacuum chamber for performing a plasma process and a cleaning process unit for performing a cleaning process to apply a plasma process to a wafer on which a single layer or a laminated film containing a metallic film is formed by using a corrosive gas, and a control unit having a sequence to abort the plasma process when an abnormality occurs in the vacuum chamber and transfer the wafer subjecting to the aborting of the plasma process to the cleaning process unit, after elapsing a predetermined time, to perform the cleaning process.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: February 19, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masakazu Okai, Kenji Tamai, Toru Ueno
  • Patent number: 8367594
    Abstract: A system, method and an apparatus to remove contaminants from a semiconductor substrate surface includes application of a cleaning material. The cleaning material includes a cleaning solution and a plurality of micron-sized dry polyvinyl particles dispersed in the cleaning solution. The cleaning solution is a single phase polymeric compound that is made of long polymeric chains and exhibits distinct viscoelastic properties. The plurality of micron-sized dry polyvinyl alcohol particles absorb the liquid in the cleaning solution and become uniformly suspended within the cleaning material. The suspended polyvinyl alcohol particles interact with at least some of contaminants on the semiconductor substrate surface to release and remove the contaminants from the substrate surface. The released contaminants are entrapped within the cleaning material and removed with the cleaning material leaving behind a substantially clean substrate surface.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: February 5, 2013
    Assignee: LAM Research Corporation
    Inventor: Katrina Mikhaylichenko
  • Publication number: 20130025624
    Abstract: According to example embodiments, there is provided a method of cleaning a semiconductor device manufacturing apparatus. In the method, a fluorine-containing gas is provided into a chamber to clean a byproduct formed on a surface of a chamber during formation of a layer structure therein. A material is provided into the chamber to chemisorb the material on the surface of the chamber. The material is substantially similar to or the same as a source gas for forming the layer structure. A plasma is generated in the chamber, and the chamber is purged.
    Type: Application
    Filed: July 11, 2012
    Publication date: January 31, 2013
    Inventors: Jeon-Ho Kim, Chul-Hwan Choi, Seung-Tae Lee, Yong-Gyu Lim, Kyung-Tae Kim, Jae-Min Kim
  • Patent number: 8361237
    Abstract: The present invention is a formulation for wet clean removal of post etch and ash residue from a semiconductor substrate having a CoWP feature, comprising; Deionized water; Organic acid; Amine and/or quaternary ammonium hydroxide; wherein the formulation is compatible with the CoWP feature and either (a) the molar ratio of amine and/or quaternary ammonium hydroxide to organic acid provides a pH in the range of 7-14; or (b) the formulation includes a corrosion inhibitor. A method of using the formulation is also described.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: January 29, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Madhukar Bhaskara Rao, Eugene C. Baryschpolec
  • Patent number: 8354365
    Abstract: Provided are a cleaning liquid for lithography that exhibits excellent corrosion suppression performance in relation to ILD materials, and excellent removal performance in relation to a resist film and a bottom antireflective coating film, and a method for forming a wiring using the cleaning liquid for lithography. The cleaning liquid for lithography according to the present invention includes a quaternary ammonium hydroxide, a water soluble organic solvent, water, and an inorganic base. The water soluble organic solvent contains a highly polar solvent having a dipole moment of no less than 3.0 D, a glycol ether solvent and a polyhydric alcohol, and the total content of the highly polar solvent and the glycol ether solvent is no less than 30% by mass relative to the total mass of the liquid for lithography.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 15, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takuya Ohhashi, Masaru Takahama, Takahiro Eto, Daijiro Mori, Shigeru Yokoi
  • Publication number: 20130012027
    Abstract: Elemental fluorine is used as etching agent for the manufacture of electronic devices, especially semiconductor devices, micro-electromechanical devices, thin film transistors, flat panel displays and solar panels, and as chamber cleaning agent mainly for plasma-enhanced vapor deposition (PECVD) apparatus. For this purpose, fluorine often is produced on-site. The invention provides a process wherein the contamination of the elemental fluorine with gaseous impurities, such as air or moisture, is prevented by producing it on site and delivering it to the point of use under a pressure higher than ambient pressure.
    Type: Application
    Filed: March 22, 2011
    Publication date: January 10, 2013
    Applicant: SOLVAY SA
    Inventor: Maurizio Paganin
  • Patent number: 8349087
    Abstract: A semiconductor device manufacturing method includes loading plural dry-etched wafers one by one in a container having a side door so as to be disposed substantially horizontally and in layers vertically therein; and blowing out a purge gas horizontally to those wafers loaded in the container for 30 sec or more after all the subject wafers are loaded in the container while the side door is open.
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: January 8, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Hidetaka Nambu, Nobuo Hironaga, Futoshi Ota, Toru Yokoyama, Osamu Sugawara, Ryo Satou, Masato Tamura
  • Patent number: 8337623
    Abstract: Methods for operating a plasma processing chamber for a cleaning operation of an internal region of the plasma processing chamber are disclosed. The method is performed when a semiconductor wafer is not present in the plasma processing chamber. The plasma processing chamber has a bottom electrode assembly that includes an inner bottom electrode and an outer bottom electrode, and the inner bottom electrode and outer bottom electrode are electrically isolated by a dielectric ring. The method includes configuring the inner bottom electrode to be set at a floating potential and supplying a process gas into the plasma processing chamber. And, supplying RF power to the outer bottom electrode. The supplying of RF power to the outer bottom electrode is conducted while maintaining the inner bottom electrode at the floating potential and is isolated by the dielectric ring. The RF power produces a plasma that is generated substantially outside of the inner bottom electrode and over the outer bottom electrode.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: December 25, 2012
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8324114
    Abstract: A method for removing silicon oxide based residue from a stack with a doped silicon oxide layer with features with diameters less than 100 nm is provided. A wet clean solution of between 25% to 60% by weight of NH4F, and between 0.05% and 5% by weight of phosphoric acid, and between 0.05% and 5% by weight citric acid, in a water solvent is provided to an area on a surface of the stack. The wet clean solution is removed from the area on the surface of the stack between 0.5 to 10 seconds after the area on the surface of the stack was exposed to the wet clean solution.
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: December 4, 2012
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Denis Syomin
  • Patent number: 8317929
    Abstract: A method for cleaning elements of a lithographic apparatus, for example optical elements such as a collector mirror, includes providing a gas containing nitrogen; generating nitrogen radicals from at least part of the gas, thereby forming a radical containing gas; and providing at least part of the radical containing gas to the one or more elements of the apparatus. A lithographic apparatus includes a source and an optical element, and an electrical discharge generator arranged to generate a radio frequency discharge.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: November 27, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Tatyana Victorovna Rakhimova, Vadim Yevgenyevich Banine, Vladimir Vitalevich Ivanov, Konstantin Nikolaevitch Koshelev, Johannes Hubertus Johannes Moors, Aleksander Sergeevich Kovalev, Dmitriy Victorovich Lopaev
  • Patent number: 8309465
    Abstract: A system produces devices that include a semiconductor part and a non-semiconductor part. A front end is configured to receive a semiconductor part and to process the semiconductor part. A back end is configured to receive the processed semiconductor part and to assemble the processed semiconductor part and a non-semiconductor part into a device. A transfer device is configured to automatically handle the semiconductor part in the front end and to automatically transfer the processed semiconductor part to the back end.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: November 13, 2012
    Assignee: Infineon Technologies AG
    Inventors: Oskar Neuhoff, Tobias Gamon, Norbert Martin Haueis, Dirk Pikorz, Michael Wolfgang Larisch, Franz Reithner
  • Publication number: 20120270406
    Abstract: A plasma processing apparatus in which a cleaning method is performed includes a plasma generating chamber, having a silicon-containing member, for generating therein plasma by exciting a processing gas; a plasma processing chamber communicating with the plasma generating chamber via a partition member; and a high frequency antenna, having a planar shape, provided at an outside of a dielectric window of the plasma generating chamber. The cleaning method includes exciting a hydrogen-containing processing gas into plasma in the plasma generating chamber, introducing hydrogen radicals in the plasma into the plasma processing chamber through the partition member, performing a plasma process on a processing target substrate by allowing the hydrogen radicals to act on the processing target substrate, unloading the processing target substrate, and removing silicon-based deposits generated in the plasma generating chamber by introducing a tetrafluoride (tetrafluoromethane) gas into the plasma generating chamber.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 25, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Eiichi Nishimura
  • Patent number: 8288291
    Abstract: The invention provides a single-step method for removing bulk metal contamination from III-V semiconductor substrates. The method comprises immersing a metal contaminated III-V semiconductor substrate in a mixture of sulfuric acid and peroxide with a volume ratio of sulfuric acid to peroxide (e.g., hydrogen peroxide) between about 3:1 and about 9:1. After treating the III-V semiconductor substrates with the sulfuric acid-peroxide mixture, the bulk metal contamination may be substantially removed from the substrate while a surface roughness of the substrate after treatment of below about 0.5 nm RMS (2 ?m×2 ?m) is obtained. The invention further provides a method for manufacturing a semiconductor device by removing bulk metal contamination according to the single-step method of the invention before performing processing steps for forming the semiconductor device.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: October 16, 2012
    Assignee: IMEC
    Inventors: Sonja Sioncke, Marc Meuris
  • Patent number: 8278186
    Abstract: The present invention relates to a wafer cleaning and a wafer bonding method using the same that can improve a yield of cleaning process and bonding property in bonding the cleaned wafer by cleaning the wafer using atmospheric pressure plasma and cleaning solution. The wafer cleaning method includes the steps of providing a process chamber with a wafer whose bonding surface faces upward, cleaning and surface-treating the bonding surface of the wafer by supplying atmospheric pressure plasma and a cleaning solution to the bonding surface of the wafer, and withdrawing out the wafer from the process chamber.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: October 2, 2012
    Assignee: Ltrin Co., Ltd.
    Inventors: Yong Won Cha, Dong Chul Kim
  • Patent number: 8277564
    Abstract: A method for removing a hardened photoresist from a semiconductor substrate. An example method for removing a hardened photoresist layer from a substrate comprising a low-? dielectric material preserving the characteristics of the low-?dielectric material includes: a)—providing a substrate comprising a hardened photoresist layer and a low-? dielectric material at least partially exposed; b)—forming C?C double bonds in the hardened photoresist by exposing the hardened photoresist to UV radiation having a wavelength between 200 nm and 300 nm in vacuum or in an inert atmosphere; c)—breaking the C?C double bonds formed in step b) by reacting the hardened photoresist with ozone (O3) or a mixture of ozone (O3) and oxygen (O2) thereby fragmenting the hardened photoresist; and d)—removing the fragmented photoresist obtained in step c) by wet processing with cleaning chemistries.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: October 2, 2012
    Assignee: IMEC
    Inventors: Quoc Toan Le, Els Kesters, Guy Vereecke
  • Patent number: 8262801
    Abstract: A vacuum processing method using an apparatus including a processing chamber disposed in a vacuum reactor and having plasma formed thereon, a sample stage having a sample placed on the upper plane thereof, and a gas introducing mechanism, wherein the sample stage includes a gas supply port for introducing thermal conductance gas between the sample stage and the sample to be processed. The method includes placing a dummy sample on the sample stage, introducing dust removal gas between the sample stage and the dummy sample, and removing particles attached to the sample stage via the flow of dust removal gas.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: September 11, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ken Kitaoka, Masamichi Sakaguchi, Kazue Takahasi
  • Patent number: 8257504
    Abstract: A surface treatment composition of this invention is a composition for treating a metal wiring-including surface of a semiconductor substrate, which includes a compound (A) represented by a specific structural formula and a solvent (B) having a boiling point at one atmospheric pressure of 50 to 300° C., and has a pH of 4 to 11. According to the surface treatment composition of the present invention, oxidation of metal wiring of a semiconductor substrate can be suppressed and deterioration of the flatness of the metal wiring portion due to unusual oxidation can be suppressed. Furthermore, when an insulating film or a barrier metal film is present on a metal wiring-including surface of the semiconductor substrate, fang and surface roughness of the metal wiring occurring in the interface between the metal wiring and the insulating film or the barrier metal film can be suppressed.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: September 4, 2012
    Assignees: JSR Corporation, Kabushiki Kaisha Toshiba
    Inventors: Yasumasa Mori, Hirotaka Shida, Kazuo Kawaguchi, Hiroyuki Yano, Mie Matsuo
  • Patent number: 8241426
    Abstract: When the remaining slurry and polishing residue are removed by cleaning with a cleaning liquid (preferably a cleaning liquid containing a surfactant), organic matter in the cleaning liquid containing a surfactant seeps into the interlayer insulating film 3. Therefore, the substrate is subsequently washed with an organic solvent or a solution containing an organic solvent, thus washing away the organic matter that has seeped into the interlayer insulating film 3. Although the interlayer insulating film 3 is subjected to a hydrophobic treatment, since the solvent used is an organic solvent, this solvent is able to seep into the interlayer insulating film 3, dissolve the organic matter, and wash the organic matter away without being affected by this hydrophobic treatment. Afterward, the substrate 1 is dried, and the organic solvent or solution containing an organic solvent that is adhering to the surface is removed.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: August 14, 2012
    Assignees: Nikon Corporation, EBARA Corporation
    Inventors: Syozo Takada, Hisanori Matsuo, Akira Ishikawa
  • Patent number: 8231732
    Abstract: A cleaning method that can prevent abnormal wear of an O-ring. A cleaning gas containing at least oxygen gas is supplied to the interior of a chamber in which a substrate is accommodated, and radio-frequency voltage is applied to the interior of the chamber to produce oxygen radicals from the cleaning gas. When the amount of deposit produced in the chamber in plasma processing is larger than a predetermined amount, the amount of fluorine radicals in the chamber is increased, and when the amount of the deposit is smaller than the predetermined amount, the amount of fluorine radicals in the chamber is decreased.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: July 31, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Yusuke Nakagawa
  • Publication number: 20120186604
    Abstract: According to one embodiment, a cleaning gas is sealed in a chamber of a semiconductor manufacturing apparatus, and the cleaning gas and deposits adhered in the chamber are reacted with each other to generate a reactive gas. After a predetermined time, the gas is exhausted from the chamber. Then, the chamber is evacuated while the cleaning gas is introduced into the chamber, and the reactive gas concentration contained in an exhausted gas is measured. The reactive gas concentration is compared with a determination value obtained when the deposits are removed from the chamber to determine whether the cleaning is terminated.
    Type: Application
    Filed: September 15, 2011
    Publication date: July 26, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kensuke Takano, Shinji Miyazaki, Ken Ishii, Takashi Nakao
  • Publication number: 20120180716
    Abstract: Methods of cleaning substrates and growing epitaxial silicon thereon are provided. Wafers are exposed to a plasma for a sufficient time prior to epitaxial silicon growth, in order to clean the wafers. The methods exhibit enhanced selectivity and reduced lateral growth of epitaxial silicon. The wafers may have dielectric areas that are passivated by the exposure of the wafer to a plasma.
    Type: Application
    Filed: March 2, 2012
    Publication date: July 19, 2012
    Applicant: Micron Technology, Inc.
    Inventors: Jingyan Zhang, Er-Xuan Ping
  • Publication number: 20120174944
    Abstract: A cleaning method for a SiC semiconductor includes the step of forming an oxide film on a front surface of a SiC semiconductor, and the step of removing the oxide film, and oxygen plasma is used in the step of forming the oxide film. Hydrogen fluoride may be used in the step of removing the oxide film. Thereby, a cleaning effect on the SiC semiconductor can be exhibited.
    Type: Application
    Filed: April 21, 2011
    Publication date: July 12, 2012
    Applicant: Sumitomo Electric Industries, Ltd.
    Inventors: Tomihito Miyazaki, Keiji Wada, Toru Hiyoshi
  • Patent number: 8216382
    Abstract: A foreign matter removal method that removes foreign matter attached to a surface of a substrate having been subjected to predetermined processing. An edge of a rotating substrate mounted on a mounting stage is irradiated with misalignment measurement laser light. The misalignment measurement laser light other than the laser light blocked by the edge of the substrate is received, and power thereof is detected. The amount of misalignment of the substrate is calculated based on the detected power of the misalignment measurement laser light and a detected rotation angle of the rotating substrate. The misalignment of the substrate is corrected for based on the calculated amount of misalignment. After that, foreign matter removal laser light is irradiated, and a process gas that is to react with the foreign matter is jetted to the edge of the substrate. Consequently, the foreign matter attached to the substrate is decomposed and removed.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: July 10, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takehiro Shindo
  • Patent number: 8211238
    Abstract: A method for cleaning a processing chamber that includes heating an inner surface of the processing chamber to a first temperature. The first temperature can be sufficient to cause a first species to become volatile. The first species can be one of several species deposited on the inner surface. A cleaning chemistry is injected into the processing chamber. The cleaning chemistry can be reactive with a second one of the species to convert the second species to the first species. The volatilized first species can also be output from the processing chamber. A system for cleaning the process chamber is also described.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: July 3, 2012
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Shrikant P. Lohokare, Arthur M. Howald, Yunsang Kim
  • Patent number: 8197603
    Abstract: The present invention is a method, process and apparatus for selective cleaning, drying, and modifying substrate surfaces and depositing thin films thereon using a dense phase gas solvent and admixtures within a first created supercritical fluid anti-solvent. Dense fluids are used in combination with sub-atmospheric, atmospheric and super-atmospheric plasma adjuncts (cold and thermal plasmas) to enhance substrate surface cleaning, modification, precision drying and deposition processes herein. Moreover, conventional wet cleaning agents such as hydrofluoric acid and ammonium fluoride may be used with the present invention to perform substrate pre-treatments prior to precision drying and cleaning treatments described herein. Finally, dense fluid such as solid phase carbon dioxide and argon may be used as a follow-on treatment or in combination with plasmas to further treat a substrate surface.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: June 12, 2012
    Inventor: David P. Jackson
  • Publication number: 20120132228
    Abstract: A conventional substrate processing apparatus for generating plasma cannot generate plasma with high density and thus throughput of substrate processing is low. In order to solve this problem, provided is a substrate processing apparatus including a reaction vessel having a tubular shape and provided with a coil installed at an outer circumference thereof; a cover installed at a first end of the reaction vessel; a gas introduction port installed at the cover; a first plate installed between the gas introduction port and an upper end of the coil; a second plate installed between the first plate and the upper end of the coil; a substrate processing chamber installed at a second end of the reaction vessel; and a gas exhaust part connected to the substrate processing chamber.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 31, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hidehiro Yanai, Shin Hiyama, Toru Kakuda, Toshiya Shimada, Tomihiro Amano
  • Patent number: 8187389
    Abstract: A resist removing device 1 functions to remove a resist from a substrate while preventing occurrence of popping phenomenon and at the same time attains reduction in cost of energy for the resist removing and has a simplified constitution. The resist removing device 1 is equipped with a chamber 2 for containing therein a substrate 16 (for example, a substrate having a high-doze ion implanted resist), and with a pressure below the atmospheric pressure, the chamber 2 is fed with ozone gas, unsaturated hydrocarbons and water vapor. The ozone gas may be an ultra-high concentrated ozone gas that is produced by subjecting an ozone containing gas to a liquefaction-separation with the aid of a vapor pressure difference and then vaporizing the liquefied ozone. For cleaning the substrate 16 thus treated, it is preferable to use ultra-pure water. The chamber 2 is equipped with a susceptor 15 for holding the substrate 16. The susceptor 15 is heated to a temperature of 100° C. or below.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: May 29, 2012
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Patent number: 8182610
    Abstract: Equipment is realized which is capable of increasing the frequency of use of a deposition mask of an organic EL element and the recycle of an adhesive agent by efficiently cleaning the deposition mask with little damage and efficiently collecting the adhesive agent. A pulse laser is irradiated to a deposition mask to separate the deposition agent from the deposition mask. The separated deposition agent is sucked by a suction nozzle, and the deposition agent is separated from air by a cyclone and deposited on a bottom of the cyclone. Thereafter, a first valve is opened to collect the deposition agent in a deposition agent collection section. Then a second valve is opened to move the deposition agent to a deposition agent refining section to be refined. A third valve is opened to store the refined deposition agent in a deposition agent storage section. The deposition mask may be cleaned without being damaged to collect the deposition agent with high efficiency.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: May 22, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yoichi Takahara, Fumio Kataoka, Kenji Yumiba, Kenji Katagiri, Ryo Izaki
  • Patent number: 8163094
    Abstract: A process for removing indium oxide from indium bumps in a flip-chip structure to reduce contact resistance, by a multi-step plasma treatment. A first plasma treatment of the indium bumps with an argon, methane and hydrogen plasma reduces indium oxide, and a second plasma treatment with an argon and hydrogen plasma removes residual organics. The multi-step plasma process for removing indium oxide from the indium bumps is more effective in reducing the oxide, and yet does not require the use of halogens, does not change the bump morphology, does not attack the bond pad material or under-bump metallization layers, and creates no new mechanisms for open circuits.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: April 24, 2012
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: H. Frank Greer, Todd J. Jones, Richard P. Vasquez, Michael E. Hoenk, Matthew R. Dickie, Shouleh Nikzad
  • Patent number: 8158568
    Abstract: It is disclosed a cleaning liquid used in a process for forming a dual damascene structure comprising steps of etching a low dielectric layer (low-k layer) accumulated on a substrate having thereon a metallic layer to form a first etched-space; charging a sacrifice layer in the first etched-space; partially etching the low dielectric layer and the sacrifice layer to form a second etched-space connected to the first etched-space; and removing the sacrifice layer remaining in the first etched-space with the cleaning liquid, wherein the cleaning liquid comprises (a) 1-25 mass % of a quaternary ammonium hydroxide, such as TMAH and choline (b) 30-70 mass % of a water soluble organic solvent, and (c) 20-60 mass % of water.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: April 17, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Patent number: 8148311
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a basic compound, and optionally a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates. One of the embodiment is the method of using the compositions in dilution, wherein the solution may be diluted with DI water at dilution ratios, for example, of up to 1:10, up to 1:50, up to 1:100, up to 1:150, up to 1:250, and up to about 1:500 or any ratios therein.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: April 3, 2012
    Inventor: Wai Mun Lee
  • Patent number: 8148310
    Abstract: The compositions and methods herein relate to the method for the removal of residues and contaminants from metal or dielectric surfaces. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: April 3, 2012
    Inventor: Wai Mun Lee
  • Patent number: 8133325
    Abstract: This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: March 13, 2012
    Assignee: ULVAC, Inc.
    Inventors: Masahisa Ueda, Yutaka Kokaze, Mitsuhiro Endou, Koukou Suu
  • Patent number: 8114220
    Abstract: A method and composition for removing bulk and ion-implanted photoresist and/or post-etch residue material from densely patterned microelectronic devices is described. The composition includes a co-solvent, a chelating agent, optionally an ion pairing reagent, and optionally a surfactant. The composition may further include dense fluid. The compositions effectively remove the photoresist and/or post-etch residue material from the microelectronic device without substantially over-etching the underlying silicon-containing layer(s) and metallic interconnect materials.
    Type: Grant
    Filed: April 14, 2006
    Date of Patent: February 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Pamela M. Visintin, Michael B. Korzenski, Thomas H. Baum
  • Patent number: 8101025
    Abstract: A method for controlling corrosion of a substrate is provided herein. In one embodiment, a method for controlling corrosion of a substrate includes the steps of providing a substrate having a patterned photoresist layer with a metallic residue disposed thereon; exposing the substrate to a hydrogen-based plasma to remove the metallic residue; and removing the photoresist. The metallic residue may comprise residue from etching at least one of aluminum or copper. The metallic residue may further comprise a halogen compound from etching a metal-containing layer with a halogen-based process gas. The hydrogen-based plasma may comprise hydrogen (H2) and may further comprise at least one of nitrogen (N2) and water (H2O) vapor. The hydrogen-based plasma may further comprise an inert gas, such as argon (Ar).
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: January 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Eu Jin Lim, Chungdee Pong, Changhun Lee, Mark Kawaguchi, Guowen Ding
  • Patent number: 8101934
    Abstract: In a first aspect, a first apparatus is provided. The first apparatus includes a through-beam sensor coupled to a scrubber and adapted to detect a notch or flat of a substrate in the scrubber during processing. The through-beam sensor has (1) an emitter facing a first major surface of a substrate in the scrubber and adapted to transmit a beam toward an edge of the first major surface; and (2) a receiver facing a second major surface of the substrate and adapted to receive the beam transmitted from the emitter when the edge of the substrate does not obstruct the beam. Numerous other aspects are provided.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: January 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hui Chen, Haochuan Zhang, Noel A. Manto