Semiconductor Cleaning Patents (Class 134/1.2)
  • Patent number: 7718542
    Abstract: A method for etching a bevel edge of a substrate is provided. A patterned photoresist mask is formed over the etch layer. The bevel edge is cleaned comprising providing a cleaning gas comprising at least one of a CO2, CO, CxHy, H2, NH3, CxHyFz and a combination thereof, forming a cleaning plasma from the cleaning gas, and exposing the bevel edge to the cleaning plasma. Features are etched into the etch layer through the photoresist features and the photoresist mask is removed.
    Type: Grant
    Filed: August 25, 2006
    Date of Patent: May 18, 2010
    Assignee: Lam Research Corporation
    Inventors: Yunsang Kim, Andrew Bailey, III, Jack Chen
  • Publication number: 20100101603
    Abstract: A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a salvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 29, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Robert P. Chebi, Jaroslaw W. Winniczek
  • Patent number: 7704939
    Abstract: To provide a surfactant which is obtainable by using substantially no alkali metal, has excellent readhesion prevention ability of finely-pulverized particles at the time of cleaning, and is capable of quite efficient and advanced cleaning. In the present invention, a surfactant which comprises a neutralized salt (AB1) and/or neutralized salt (AB2) is used. Neutralized salt (AB1): a neutralized salt (AB1) which comprises an acidic compound (A1) containing at least each one of an acid group (X1) of an acid having the difference of heat of formation in an acid dissociation reaction (Q1) of 3 to 200 kcal/mol and a hydrophobic group (Y) containing 1 to 36 carbon atoms, and a nitrogen-containing basic compound (B) having the difference of heat of formation in a proton addition reaction of 10 to 152 kcal/mol, wherein (X1) is at least one species selected from a sulfonic acid group, and the like.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: April 27, 2010
    Assignee: Sanyo Chemical Industries, Ltd.
    Inventors: Kazumitsu Suzuki, Shunichiro Yamaguchi
  • Publication number: 20100095979
    Abstract: A remote plasma process for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber. In one embodiment, the substrate is transferred out of the substrate processing chamber and a flow of a fluorine-containing etchant gas is introduced into a remote plasma source where reactive species are formed. A continuous flow of the reactive species from the remote plasmas source to the substrate processing chamber is generated while a cycle of high and low pressure clean steps is repeated. During the high pressure clean step, reactive species are flown into the substrate processing chamber while pressure within the substrate processing chamber is maintained between 4-15 Torr.
    Type: Application
    Filed: July 23, 2009
    Publication date: April 22, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Sanjay Kamath, Young S. Lee, Ellie Y. Yieh, Hien-Minh Huu Le, Anjana M. Patel, Sudhir R. Gondhalekar
  • Patent number: 7699935
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Grant
    Filed: June 19, 2008
    Date of Patent: April 20, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ramprakash Sankarakrishnan, Dale DuBois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Patent number: 7695567
    Abstract: A chamber passivation method particularly useful for hydrogen plasma cleaning of low-k dielectrics prior to coating a barrier layer into a via hole with hydrogen radicals are provided from a remote plasma source. For each wafer, the chamber is passivated with water vapor (or other gas even more chemabsorbed on plasma facing walls) passed through the remote plasma source prior to the ignition of the hydrogen plasma. The water vapor is absorbed on walls, such as alumina and quartz parts of the remote plasma source, and forms a protective mono-layer that endures sufficiently long to protect the walls during the generation of the hydrogen plasma. Thereby, the plasma facing walls, particularly of a dielectric such as alumina, are protected from etching.
    Type: Grant
    Filed: February 10, 2006
    Date of Patent: April 13, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Xinyu Fu
  • Patent number: 7691278
    Abstract: An apparatus generating a plasma for removing fluorinated polymer from a substrate is disclosed. The embodiment includes a powered electrode assembly, including a powered electrode, a first dielectric layer, and a first wire mesh disposed between the powered electrode and the first dielectric layer. The embodiment also includes a grounded electrode assembly disposed opposite the powered electrode assembly so as to form a cavity wherein the plasma is generated, the first wire mesh being shielded from the plasma by the first dielectric layer when the plasma is present in the cavity, the cavity having an outlet at one end for providing the plasma to remove the fluorinated polymer.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: April 6, 2010
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, John Boyd, Andras Kuthi, Andrew D. Bailey, III
  • Patent number: 7693597
    Abstract: A substrate processing method for removing a resist film from a substrate having the resist film formed thereon comprises maintaining the inner region of the chamber at a prescribed temperature by putting a substrate in a chamber, denaturing the resist film by supplying ozone and a water vapor in such a manner that ozone is supplied into the chamber while a water vapor is supplied into the chamber at a prescribed flow rate, the amount of ozone relative to the amount of the water vapor being adjusted such that the dew formation within the chamber is prevented, and processing the substrate with a prescribed liquid material so as to remove the denatured resist film from the substrate.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsunori Nakamori, Tadashi Iino, Noritaka Uchida, Takehiko Orii
  • Patent number: 7682456
    Abstract: A substrate treatment method is disclosed, which can effectively reduce the amount of charges accumulated on a substrate due to treatment of the substrate with a water-containing liquid. The method comprises the steps of: supplying a water-containing liquid to a substrate held generally horizontally by a substrate holding/rotating mechanism while rotating the substrate at a first rotation speed; and removing charges from the substrate after the water supplying step by performing a puddle process for a predetermined period by retaining a liquid film of a predetermined liquid on a surface of the substrate held generally horizontally by the substrate holding/rotating mechanism with the substrate being rotated at a second rotation speed lower than the first rotation speed or kept in a non-rotating state without further supplying the predetermined liquid to the liquid film.
    Type: Grant
    Filed: November 11, 2005
    Date of Patent: March 23, 2010
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Akio Hashizume
  • Publication number: 20100043821
    Abstract: Described herein are methods and apparatus for removing photoresist in the presence of low-k dielectric layers. In one embodiment, the method includes exciting a first mixture of gases having a ratio of a flow rate of reducing process gas to a flow rate of an oxygen-containing process gas that is between 1:1 and 100:1 to generate a first reactive gas mixture. Next, the method includes exposing the photoresist layer that overlays the low-k dielectric layer on a substrate to the first reactive gas mixture to selectively remove the photoresist layer from the dielectric layer. Next, the method includes exposing the photoresist layer to a second reactive gas mixture to selectively remove the photoresist layer from the dielectric layer. The first and second reactive gas mixtures contain substantially no ions when the substrate is exposed to these mixtures in order to minimize damage to the low-k dielectric layer.
    Type: Application
    Filed: August 19, 2008
    Publication date: February 25, 2010
    Inventors: Siyi Li, Ryan Patz, Qingjun Zhou, Jeremiah Pender, Michael D. Armacost
  • Patent number: 7662236
    Abstract: In a process involving the formation of an insulating film on a substrate for an electronic device, the insulating film is formed on the substrate surface by carrying out two or more steps for regulating the characteristic of the insulating film involved in the process under the same operation principle. The formation of an insulating film having a high level of cleanness can be realized by carrying out treatment such as cleaning, oxidation, nitriding, and a film thickness reduction while avoiding exposure to the air. Further, carrying out various steps regarding the formation of an insulating film under the same operation principle can realize simplification of the form of an apparatus and can form an insulating film having excellent property with a high efficiency.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: February 16, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takuya Sugawara, Yoshihide Tada, Genji Nakamura, Shigenori Ozaki, Toshio Nakanishi, Masaru Sasaki, Seiji Matsuyama
  • Patent number: 7655608
    Abstract: Resist stripping agents, useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with reduced metal etch rates, particularly copper etch rates, are provided with methods for their use. The preferred stripping agents contain low concentrations of a copper or cobalt salt with or without an added amine to improve solubility of the copper or cobalt salt. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: February 2, 2010
    Assignee: Dynaloy, LLC
    Inventors: Kimberly Dona Pollard, Michael T. Phenis
  • Patent number: 7651949
    Abstract: A semiconductor device may be manufactured by employing an ashing process for removing a photoresist in a process chamber, wherein the ashing process comprises: removing the photoresist for a first predetermined process time by flowing one or more oxygen and nitrogen source gases into the process chamber at first predetermined pressure, power, and temperature conditions; removing a surface portion of a polymer (e.g., from a previous etching process) for a second predetermined process time by flowing a mixture of one or more water source gases (e.g., H2O) and a fluorocarbon (e.g., CF4) into the process chamber at second predetermined pressure, power, and temperature conditions; and removing remaining photoresist for a third predetermined process time by flowing an oxygen source gas (e.g., O2) gas into the process chamber at third predetermined pressure, power, and temperature conditions.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: January 26, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Bo-Yeoun Jo
  • Patent number: 7651948
    Abstract: A method for processing a substrate including a pre-cleaning etch and reduced pressure process is disclosed. The pre-cleaning process involves introducing a substrate into a processing chamber; flowing an etching gas into the processing chamber; processing at least a portion of the substrate with the etching gas to remove a contaminated or damaged layer from a substrate surface; stopping flow of the etching gas; evacuating the processing chamber to achieve a reduced pressure in the chamber; and processing the substrate surface at the reduced pressure. Epitaxial deposition is then used to form an epitaxial layer on the substrate surface.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yihwan Kim, Jean R. Vatus, Lori D. Washington, Arkadii Samoilov, Ali Zojaji
  • Patent number: 7651723
    Abstract: A process chamber is provided which includes a gate configured to align barriers with an opening of the gate and an opening of the process chamber such that the two openings are either sealed or provide an air passage to the chamber. A method is provided and includes sealing an opening of a chamber with a gate latch and exposing a topography to a first set of process steps, opening the gate latch such that an air passage is provided to the process chamber, and exposing the topography to a second set of process steps without allowing liquids within the chamber to flow through the air passage. A substrate holder comprising a clamping jaw with a lever and a support member coupled to the lever is also contemplated herein. A process chamber with a reservoir arranged above a substrate holder is also provided herein.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: January 26, 2010
    Assignee: Lam Research Corporation
    Inventors: Igor C. Ivanov, Weiguo Zhang
  • Patent number: 7648581
    Abstract: In a substrate cleaning method for cleaning a backside of a substrate on a surface of which a predetermined processing is performed, a two phase substance contacts the backside of the substrate, and a flow of the substance is generated near the backside of the substrate under a specified pressure. The two phase substance is a gas containing aerosol or a supercritical substance, and the specified pressure is higher than or equal to 133 Pa (1 Torr). Further, in the substrate cleaning method, a high-energy light may be irradiated on the backside of the substrate.
    Type: Grant
    Filed: November 16, 2005
    Date of Patent: January 19, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Tsuyoshi Moriya
  • Patent number: 7638004
    Abstract: A method of cleaning a microwave plasma applicator tube as described herein includes preparing a microwave plasma applicator for cleaning. A general cleaning of the plasma applicator tube is performed using an organic solvent wash and an ultrapure water wash. Selective cleanings of the tube are performed to remove selected contaminants. Such cleanings include a third wash with an alkaline cleaning solution, a fourth wash with an acidic cleaning solution and another wash using an ammonia and peroxide solution. The tube is rinsed using a sonicating wash performed in ultrapure water followed by drying. Also, the coil can be cleaned using acidic wash solution.
    Type: Grant
    Filed: May 31, 2006
    Date of Patent: December 29, 2009
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, Harmeet Singh, Raphael Casaes, Duane Outka, Mohammad Kamarehi
  • Patent number: 7637269
    Abstract: A method for removing a mask layer and reducing damage to a patterned dielectric layer is described. The method comprises disposing a substrate in a plasma processing system, wherein the substrate has a dielectric layer formed thereon and a mask layer overlying the dielectric layer. A pattern is formed in the mask layer and a feature formed in the dielectric layer corresponding to the pattern as a result of an etching process used to transfer the pattern in the mask layer to the dielectric layer. The feature includes a sidewall with a first roughness resulting from the etching process. A process gas comprising CO2 and CO is introduced into the plasma processing system, and plasma is formed. The mask layer is removed, and a second roughness, less than the first roughness, is produced by selecting a flow rate of the CO relative to a flow rate of the CO2.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: December 29, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Kelvin Zin, Masaru Nishino, Chong Hwan Chu, Yannick Feurprier
  • Patent number: 7635016
    Abstract: In a board cleaning method for dry cleaning of connection sites on resin-based boards, one or more gases selected from a group consisting of gas that contains a hydrogen element and gas that contains a fluorine element are supplied at least to the connection sites, plasma is generated from the supplied gas, and the boards are cleaned by radicals and ions that are produced by the generated plasma.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: December 22, 2009
    Assignee: Panasonic Corporation
    Inventors: Naoki Suzuki, Youichi Nakamura, Kazuyuki Tomita
  • Publication number: 20090298294
    Abstract: A method for clearing native oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A clearing process is performed to the substrate using nitrogen trifluoride (NF3) and ammonia (NH3) as a reactant gas, wherein the volumetric flow rate of NF3 is greater than that of NH3.
    Type: Application
    Filed: May 30, 2008
    Publication date: December 3, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Yen-Chu Chen, Teng-Chun Tsai, Chien-Chung Huang, Keng-Jen Liu
  • Publication number: 20090293907
    Abstract: Methods for cleaning a substrate are provided. In one embodiment, the method includes depositing a polymer on a substrate. A cleaning gas is provided to clean a frontside, a bevel edge, and a backside of the substrate. The cleaning gas may include various reactive chemicals such as H2 and N2 in one embodiment. In another embodiment, the cleaning gas may include H2 and H2O. Plasma is initiated from the cleaning gas and used to remove polymer that formed on a bevel edge, backside, or frontside of the substrate during semiconductor processing.
    Type: Application
    Filed: October 6, 2008
    Publication date: December 3, 2009
    Inventors: Nancy Fung, Siyi Li, Ying Rui, Walter R. Merry, Anchel Sheyner, Kathryn Keswick, Shing-Li Sung, Mang-Mang Ling, Chia-Ling Kao, Wei-Te Wu, Kang-Lie Chiang
  • Publication number: 20090291562
    Abstract: A method for forming semiconductor devices is provided. A wafer with a patterned photoresist mask over the wafer, wherein the patterned photoresist mask has patterned photoresist mask features with scum at bottoms of the photoresist mask features is provided. The scum is removed from the bottoms of the photoresist mask features, comprising: providing a descumming gas consisting essentially of helium and forming the helium into a plasma, which removes the scum.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 26, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Alan Jensen
  • Publication number: 20090272402
    Abstract: A method for detecting plasma unconfinement in a reaction chamber during a bevel edge cleaning operation is provided. The method initiates with selecting a wavelength associated with expected by products of a bevel edge clean process. The method includes cleaning the bevel edge area of a substrate and monitoring the intensity of the selected wavelengths during the cleaning for deviation from a threshold wavelength intensity. The cleaning is terminated if the deviation from the threshold wavelength intensity exceeds a target deviation.
    Type: Application
    Filed: May 2, 2008
    Publication date: November 5, 2009
    Inventors: KeeChan Kim, Yunsang Kim, Andrew D. Bailey, III
  • Patent number: 7608548
    Abstract: Disclosed is a method for cleaning a multilayer substrate at least having a silicon single crystal wafer with a SiGe layer epitaxially grown on a surface of the silicon single crystal wafer, where the SiGe layer is an outermost surface of the SiGe layer and then cleaning the multilayer substrate with a first cleaning liquid capable of etching the protective film so that the protective film remains. The protective film prevents roughening of the surface of the SiGe layer while the cleaning is performed. The cleaning is performed. The cleaning is performed so that a thickness of the remaining protective film is from 1 nm to 100 nm.
    Type: Grant
    Filed: September 7, 2004
    Date of Patent: October 27, 2009
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Kiyoshi Mitani
  • Patent number: 7601112
    Abstract: The present invention is an apparatus and process for cleaning substrates using fluids, including dense fluids. A perforated centrifuge drum operates within a pressure vessel. A dense fluid cleaning and management system including suitable process condition sensors provides for exchanging fluid with the pressure vessel.
    Type: Grant
    Filed: November 9, 2005
    Date of Patent: October 13, 2009
    Inventor: David P. Jackson
  • Patent number: 7597816
    Abstract: A method of forming a semiconductor device is provided. A wafer with a dielectric layer disposed under a photoresist mask is placed in an etch chamber. The dielectric layer is etched. The wafer is raised. A cleaning gas is provided. A plasma is formed from the cleaning gas. A polymer that has formed on the bevel of the wafer is removed using the plasma from the cleaning gas. The wafer is removed from the etch chamber.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: October 6, 2009
    Assignee: Lam Research Corporation
    Inventors: Jeremy Chang, Andreas Fischer, Peter Loewenhardt
  • Patent number: 7582570
    Abstract: A composition and methods for using the composition in removing processing byproducts is provided. The composition can be non-aqueous or semi-aqueous. The non-aqueous composition includes a non-aqueous solvent and one or more components including a fluoride compound and a pyridine compound. The semi-aqueous composition includes glacial acetic acid and one or more components including a fluoride compound and a pyridine compound. The composition can be used in removing processing byproducts from substrate assembly, including MRAM devices, that include at least a metal containing region and processing byproducts, where removing the processing byproducts includes exposing the substrate assembly to the composition for a time effective to remove at least a portion of the processing byproducts.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: September 1, 2009
    Assignee: Micron Technology, Inc.
    Inventor: Donald L. Yates
  • Patent number: 7581549
    Abstract: A process for removing carbon-containing residues from a substrate is described herein. In one aspect, there is provided a process for removing carbon-containing residue from at least a portion of a surface of a substrate comprising: providing a process gas comprising an oxygen source, a fluorine source, an and optionally additive gas wherein the molar ratio of oxygen to fluorine contained within the process gas ranges from about 1 to about 10; activating the process gas using at least one energy source to provide reactive species; and contacting the surface of the substrate with the reactive species to volatilize and remove the carbon-containing residue from the surface.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: September 1, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Andrew David Johnson, Hoshang Subawalla, Bing Ji, Raymond Nicholas Vrtis, Eugene Joseph Karwacki, Jr., Robert Gordon Ridgeway, Peter James Maroulis, Mark Leonard O'Neill, Aaron Scott Lukas, Stephen Andrew Motika
  • Patent number: 7582181
    Abstract: A method and system for controlling a velocity field in a processing system is described. In an exemplary embodiment described, the system includes a multi-outlet exhaust manifold having three or more outlets coupled to the processing system adjacent a surface of a substrate to be process. The three or more outlets are located adjacent the substrate in order to provide for a uniform flow of fluid above the surface of the substrate. Additionally, the flow of fluid through the three or more outlets is cyclically and sequentially alternated.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: September 1, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Darko Babic
  • Patent number: 7578886
    Abstract: A substrate processing apparatus and a substrate processing method is provided for performing a chemical liquid process, a cleaning process, a drying process, or the like while rotating a substrate such as a semiconductor wafer or a liquid crystal substrate. A substrate holding apparatus is provided for holding and rotating a substrate. The substrate processing apparatus for processing a substrate while supplying a fluid to the substrate includes a substrate holder for holding and rotating the substrate, and a holder suction unit for sucking the fluid from the substrate holder. The substrate holding apparatus includes a plurality of rollers which are brought into contact with an edge portion of a substrate so as to hold and rotate the substrate, and at least one moving mechanism for moving the rollers.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: August 25, 2009
    Assignee: Ebara Corporation
    Inventors: Kaoru Yamada, Takayuki Saito, Sumio Yabe, Kenya Ito, Masayuki Kamezawa, Masaya Seki, Ichiro Katakabe, Yuki Inoue
  • Patent number: 7575007
    Abstract: A chamber dry cleaning process particularly useful after a dielectric plasma etch process which exposes an underlying copper metallization. After the dielectric etch process, the production wafer is removed from the chamber and a cleaning gas is excited into a plasma to clean the chamber walls and recover the dielectric etching characteristic of the chamber. Preferably, the cleaning gas is reducing such as hydrogen gas with the addition of nitrogen gas. Alternatively, the cleaning gas may an oxidizing gas. If the wafer pedestal is vacant during the cleaning, it is not electrically biased. If a dummy wafer is placed on the pedestal during cleaning, the pedestal is biased. The cleaning process is advantageously performed every wafer cycle.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: August 18, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Hairong Tang, Xiaoye Zhao, Keiji Horioka, Jeremiah T. P. Pender
  • Patent number: 7571732
    Abstract: A method of maintaining a remote plasma unit for cleaning a semiconductor-processing apparatus includes: (i) detecting if the semiconductor-processing apparatus is in an idle state; (ii) if the idle state is detected, igniting the remote plasma unit for cleaning the semiconductor-processing apparatus after a lapse of a given time period; (iii) detecting if the remote plasma unit is ignited in step (ii); and (iv) if the remote plasma unit is not ignited in step (ii), retrying ignition of the remote plasma unit.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: August 11, 2009
    Assignee: ASM Japan K.K.
    Inventors: Masahiro Takizawa, Takashi Wada, Satoru Noguchi
  • Patent number: 7569111
    Abstract: A process for cleaning a deposition chamber. The process includes feeding a fluorine-containing gas into the deposition chamber; maintaining the fluorine-containing gas in the deposition chamber at a first pressure; providing RF power to ignite plasma of the fluorine-containing gas within the deposition chamber; keeping the deposition chamber at a first temperature for a time period with the presence of the plasma; turning off the RF power to cease the plasma; and feeding a remote plasma containing free fluorine from a remote plasma source into the deposition chamber, without evacuating the deposition chamber, at the first temperature to clean interior surfaces of the deposition chamber.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: August 4, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Hsin Lai, Chun-Yi Wang
  • Patent number: 7566662
    Abstract: Provided is a method of manufacturing a semiconductor device. After a semiconductor wafer is placed over a wafer stage with which a dry cleaning chamber of a film forming apparatus is equipped, dry cleaning treatment is given over the surface of the semiconductor wafer with a reducing gas. Then, the semiconductor wafer is heat treated at a first temperature of from 100 to 150° C. by using a shower head kept at 180° C. The semiconductor wafer is then vacuum-transferred to a heat treatment chamber, wherein the semiconductor wafer is heat treated at a second temperature of from 150 to 400° C. A product remaining over the main surface of the semiconductor wafer is thus removed. The present invention makes it possible to manufacture a semiconductor device having improved reliability and production yield by reducing variations in the electrical properties of a nickel silicide layer.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: July 28, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Takuya Futase, Hideaki Tsugane, Mitsuo Kimoto, Hidenori Suzuki
  • Patent number: 7562662
    Abstract: A cleaning method for removing foreign bodies during the fabrication of semiconductor devices including treating a substrate with a cleaning solution including an oxidizer to form a chemical oxide layer and then removing the chemical oxide layer, thereby removing foreign bodies from a surface of the semiconductor substrate. Accordingly, the foreign bodies can be substantially removed from the surface of the substrate without corroding a metal.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: July 21, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Yong Kim, Sang-Jun Choi, Chang-Ki Hong
  • Patent number: 7556048
    Abstract: A process for cleaning the silicon surface of a semiconductor device material layer. The surface undergoes a pre-clean process followed by exposure to a nitrogen-containing gas. A polysilicon layer is formed on the surface in the same chamber and at about the same temperature as the cleaning and nitrogen exposing steps.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 7, 2009
    Assignee: Agere Systems Inc.
    Inventors: Leonard J. Olmer, Robert F. Jones, William D. Bevers, Edward P. Martin, Jr.
  • Patent number: 7552736
    Abstract: A process is provided for removing polymer from a backside of a workpiece. The process includes supporting the workpiece on the backside in a vacuum chamber while leaving at least a peripheral annular portion of the backside exposed. The process further includes confining gas flow at the edge of the workpiece within a gap at the edge of the workpiece on the order of about 1% of the diameter of the chamber, the gap defining a boundary between an upper process zone containing the wafer front side and a lower process zone containing the wafer backside. The process also includes providing a polymer etch precursor gas underneath the backside edge of the workpiece and applying RF power to a region underlying the backside edge of the workpiece to generate a first plasma of polymer etch species concentrated in an annular ring concentric with and underneath the backside edge of the workpiece.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: June 30, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Andrew Nguyen, Ajit Balakrishna, David Palagashvili, James P. Cruse, Jennifer Y. Sun, Valentin N. Todorov, Shahid Rauf, Kartik Ramaswamy, Gerhard M. Schneider, Imad Yousif, Martin Jeffrey Salinas
  • Patent number: 7534469
    Abstract: A CVD apparatus comprising an optical unit detecting the mass of contaminants adhering to an inner surface of a CVD reactor by irradiating an inner surface of the reactor with light having monochromaticity through an optical window provided on an inner wall of the reactor and receiving its reflected light is provided.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: May 19, 2009
    Assignee: ASM Japan K.K.
    Inventors: Kiyoshi Satoh, Yoshinobu Kano
  • Patent number: 7531047
    Abstract: The present disclosure provides a method of cleaning a semiconductor substrate after a DRIE etch process, wherein residue from the DRIE process is removed without damaging the substrate. The process may include contacting the micro-fluid ejection head with an aqueous solution of TMAH, stripping a photoresist etch mask from the micro-fluid ejection head, and dissolving a passivating coating from the substrate. Then the substrate may be contacted with an acidic solution. The method may further include rinsing and drying the substrate.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: May 12, 2009
    Assignee: Lexmark International, Inc.
    Inventors: Paul William Dryer, James Michael Mrvos, David Bruce Rhine
  • Patent number: 7531059
    Abstract: An apparatus and method are provided for removing contaminate particulate matter from substrate surfaces such as semiconductor wafers. The method and apparatus use a material, preferably a liquid curable polymer, which is applied as a sacrificial coating to the surface of a substrate containing contaminate particulate matter thereon. An energy source is used to dislodge the contaminate particulate matter from the surface of the wafer into the sacrificial coating so that the particles are partially or fully encapsulated and suspended in the sacrificial coating. The sacrificial coating is then removed. The coating is preferably formed into a film to facilitate removal of the coating by pulling (stripping) the film providing a cleaner substrate surface.
    Type: Grant
    Filed: March 10, 2004
    Date of Patent: May 12, 2009
    Assignee: International Business Machines Corporation
    Inventors: Nicole S Carpenter, Joseph R Drennan, Alison K Easton, Casey J Grant, Andrew S Hoadley, Kenneth F McAvey, Jr., Joel M Sharrow, William A Syverson, Kenneth H Yao
  • Patent number: 7527695
    Abstract: To provide a method and apparatus for cleaning a substrate to effectively remove an organic type or metallic type contaminant from a to-be-cleaned surface of a substrate by an increase in the intensity of UV light at the to-be-cleaned surface of the substrate and by an increase in the concentration of ozone O3, excited state oxygen atoms O(1D) and active oxygen.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: May 5, 2009
    Assignee: Asahi Glass Company, Limited
    Inventor: Yoshiaki Ikuta
  • Patent number: 7514364
    Abstract: In a hydrophilicity treatment method including the step of rotating, on a polishing cloth, a mirror surface of a silicon wafer subjected to mirror-polishing followed by rinsing treatment while the mirror surface is pushed onto the cloth under the application of a small load with the contact of the mirror surface with a hydrophilicity treatment liquid, thereby making the mirror surface hydrophilic, the hydrophilicity treatment liquid is an aqueous liquid which comprises an organic compound having at least one hydrophilic group and having a molecular weight of 100 or more, a basic nitrogen-containing organic compound and a surfactant, and which has a pH of 9.5 to 10.5.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: April 7, 2009
    Assignee: Covalent Materials Corporation
    Inventor: Takao Sakamoto
  • Patent number: 7514275
    Abstract: A system and method for modeling the effect of a molecular contaminant film on performance of an optical system is disclosed. A mass of material outgassed from materials of the optical system is correlated to spectrum of outgassed products. The spectrum of outgassed products is normalized, and an aggregate molecular contaminant film thickness is predicted from each material. The absorbance spectrum of the aggregate molecular contaminant film is derived, and the derived absorbance spectrum of the aggregate contaminant film is convolved with an optical system instrument function. A plot of at least one transmission band as a function of source temperature is performed to determine the degradation in performance of the optical system.
    Type: Grant
    Filed: November 7, 2007
    Date of Patent: April 7, 2009
    Assignee: Raytheon Company
    Inventors: David Brooke Hatfield, Michael Kennedy Burkland, Elaine Ellen Seasly
  • Publication number: 20090081819
    Abstract: Provided is a method for managing manufacturing apparatuses used in a managed production line including a plurality of manufacturing processes for manufacturing an electronic device, each of the apparatuses being used in each of the processes, the method including: acquiring a property of a reference device manufactured in a predetermined reference production line including the manufacturing processes to be performed; performing at least one of the manufacturing processes in the managed production line, performing the other manufacturing processes in the reference production line, and manufacturing a comparison device; measuring a property of the comparison device; comparing the measured properties between the reference and the comparison devices; and judging whether the manufacturing apparatus used in the at least one manufacturing process is defective or not, based on a property difference between the reference and the comparison devices.
    Type: Application
    Filed: March 25, 2008
    Publication date: March 26, 2009
    Applicants: ADVANTEST CORPORATION, NATIONAL UNIVERSITY CORPORATION TOHOKU UNIVERSITY
    Inventors: TOSHIYUKI OKAYASU, SHIGETOSHI SUGAWA, AKINOBU TERAMOTO
  • Publication number: 20090065026
    Abstract: Some embodiments include utilization of both plasma and aerosol to treat substrate surfaces. The plasma and aerosol may be utilized simultaneously, or sequentially. In some embodiments, the plasma forms a plasma sheath over the substrate surfaces, with the plasma sheath having an electric field gradient therein. The aerosol comprises liquid particles charged to a polarity, and such polarity is transferred to contaminants on the substrate surfaces through interaction with the aerosol. The polarity may be used to assist in dislodging the contaminants from the substrate surfaces. The electric field of the plasma sheath may then sweep the contaminants away from the substrate surfaces. In some embodiments, multiple different aerosols are formed to remove multiple different types of materials from substrate surfaces. Some embodiments include apparatuses configured for treating substrate surfaces with both plasma and aerosol.
    Type: Application
    Filed: September 6, 2007
    Publication date: March 12, 2009
    Inventors: Mark Kiehlbauch, Paul A. Morgan, Gurtej S. Sandhu
  • Publication number: 20090068844
    Abstract: Mixtures of fluorine and inert gases like nitrogen and/or argon can be used for etching of semiconductors, solar panels and flat panels (TFTs and LCDs), and for cleaning of semiconductor surfaces and plasma chambers. Preferably, fluorine is comprised in an amount of 15 to 25 vol.-% in binary mixtures. The gas mixtures can be used as substitute or drop-in for respective mixtures comprising NF3 and permit a very flexible operation of plasma apparatus. For example, apparatus tuned for NF3/Ar mixtures can be operated without further tuning using fluorine and argon, optionally together with nitrogen. The fluorine content is preferably in the range of 1 to 5 vol.-%, if ternary mixtures of fluorine, nitrogen and argon are used.
    Type: Application
    Filed: April 6, 2007
    Publication date: March 12, 2009
    Applicant: SOLVAY FLUOR GMBH
    Inventors: Anja Pischtiak, Thomas Schwarze, Michael Pittroff
  • Patent number: 7498295
    Abstract: This disclosure discusses cleaning of semiconductor wafers after the Chemical-Mechanical Planarization (CMP) of the wafer during the manufacturing of semiconductor devices. Disclosed is an alkaline chemistry for the post-CMP cleaning of wafers containing metal, particularly copper, interconnects. Residual slurry particles, particularly copper or other metal particles, are removed from the wafer surface without significantly etching the metal, leaving deposits on the surface, or imparting significant contamination to the wafer while also protecting the metal from oxidation and corrosion. Additionally, at least one strong chelating agent is present to complex metal ions in solution, facilitating the removal of metal from the dielectric and preventing re-deposition onto the wafer.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 3, 2009
    Assignee: Air Liquide Electronics U.S. LP
    Inventors: Matthew L. Fisher, Ashutosh Misra
  • Patent number: 7493904
    Abstract: A liquid processing apparatus comprises a liquid processing section for applying a liquid processing to wafers W, a carrier delivery section for delivering the carrier housing the wafers W, a carrier stock section capable of storing a plurality of carriers, an interface section for transferring the wafers W between the carrier stock section and the liquid processing section, a carrier transfer device for transferring the carrier, a wafer inspecting device for inspecting the wafers W within the carrier, and a carrier transfer device control section for controlling the carrier transfer device. The carrier transfer device control section controls the carrier transfer device such that the carrier, which has been judged to be capable of a liquid processing on the basis of the result of the inspection of the wafers W, is stored in the carrier stock section, and the liquid processing is started after completion of the inspection of a predetermined number of carriers.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: February 24, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Osamu Kuroda
  • Publication number: 20090029529
    Abstract: Disclosed is a method for cleaning a semiconductor device to remove native oxides or by-products created in the process of forming silicon germanium layers. The use of the method enables removal of native oxides or by-products created in the process of forming silicon germanium layers using hydrogen bromide and prevents reoxidation which may occur in subsequent processes after forming silicon germanium layers.
    Type: Application
    Filed: July 18, 2008
    Publication date: January 29, 2009
    Inventor: Jong-Hun Shin
  • Patent number: 7481230
    Abstract: A plasma processing method allows to suppress the drop of the etching rate of the depoless-process without performing an additional seasoning process right after the dry cleaning process. The method includes a first and a second plasma processing step carried out in a single chamber and a step of dry cleaning an inside of the chamber by using a dummy substrate between the first and the second plasma processing step. Deposits are substantially accumulated in the chamber during the first plasma processing step, while substantially no deposits are accumulated in the chamber during the second plasma processing step. The dry cleaning step is performed by supplying into the chamber a deposit removing gas for removing the deposits produced in the chamber during the first plasma processing step and a dummy substrate etching gas capable of etching the dummy substrate.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: January 27, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiromi Sakima