For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Patent number: 11848223
    Abstract: An electrostatic chuck device includes: a mounting table provided with amounting surface on which a plate-shaped sample is mounted; an annular focus ring; and a cooling element for cooling the focus ring, in which the mounting table has a holding portion provided to surround the mounting surface, and the holding portion includes an annular groove surrounding the mounting surface, and a through-hole that is open on a bottom surface of the groove, wherein a tubular insulator has been inserted into the through-hole, the holding portion has upper surfaces, which are located on both sides of the groove in a width direction, as holding surfaces that are in contact with the focus ring and hold the focus ring, wherein the holding surface satisfies the following conditions (i) to (iii); (i) surface roughness is 0.05 ?m or less, (ii) a flatness is 20 ?m or less, and (iii) the holding surface does not have a recess having a depth of 1.0 ?m or more and extending in a direction intersecting the holding surface.
    Type: Grant
    Filed: February 19, 2019
    Date of Patent: December 19, 2023
    Assignee: SUMITOMO OSAKA CEMENT CO., LTD.
    Inventors: Hironori Kugimoto, Masaki Ozaki, Takeshi Watanabe, Kentaro Takahashi
  • Patent number: 11810755
    Abstract: Aspects of the disclosure relate to apparatus for the fabrication of waveguides. In one example, an angled ion source is utilized to project ions toward a substrate to form a waveguide which includes angled gratings. In another example, an angled electron beam source is utilized to project electrons toward a substrate to form a waveguide which includes angled gratings. Further aspects of the disclosure provide for methods of forming angled gratings on waveguides utilizing an angled ion beam source and an angled electron beam source.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: November 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Ludovic Godet, Joseph C. Olson, Rutger Meyer Timmerman Thijssen
  • Patent number: 11651970
    Abstract: Differences in ion mass of lighter ions (having a higher mobility) and heavier ions are utilized in conjunction with bias voltage modulation of an atomic layer etch (ALE) to provide a fast ALE process. The difference in ion mobility achieves surface modification with reactive neutral species in the absence of a bias voltage, and ion bombardment with lighter ions (e.g., inert or less reactive ions) in the presence of a bias voltage. By modulating the bias voltage, preferential ion bombardment is achieved with lighter ions without the need to physically separate or purge the reactive precursors and inert gases supplied to the process chamber for a given ALE cycle. A “fast” plasma ALE process is provided which improves etch rate, throughput and cost-efficiency by enabling the same gas chemistry composition (e.g., reactive precursor and inert gas combination) to be kept in the process chamber during a given ALE cycle.
    Type: Grant
    Filed: May 7, 2021
    Date of Patent: May 16, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Sergey Voronin
  • Patent number: 11615946
    Abstract: Devices and methods for controlling wafer uniformity using a gas baffle plate are disclosed. In one example, a device for plasma-based processes is disclosed. The device includes: a housing defining a process chamber and a baffle plate arranged above a wafer in the process chamber. The baffle plate is configured to control plasma distribution on the wafer. The baffle plate has a shape of an annulus that comprises a first annulus sector and a second annulus sector. The first annulus sector has a first inner radius. The second annulus sector has a second inner radius that is different from the first inner radius.
    Type: Grant
    Filed: May 24, 2019
    Date of Patent: March 28, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jr-Sheng Chen, An-Chi Li, Shih-Che Huang, Chih-Hsien Hsu, Zhi-Hao Huang, Alex Wang, Yu-Pei Chiang, Chun Yan Chen
  • Patent number: 11456203
    Abstract: The present disclosure describes a method that prevents pre-mature de-chucking in processing modules. The method includes placing a wafer onto a chuck equipped with lift pins. One or more of the lift pins include a pressure sensor configured to measure a pressure exerted by the wafer. The method further includes measuring a first pressure applied to the one or more lift pins by the wafer, lowering the lift pins to place the wafer on the chuck, and processing the wafer. The method also includes removing the wafer from the chuck by pressing the one or more lift pins against the wafer to measure a second pressure exerted by the wafer. If the measured second pressure is equal to the first pressure, the method raises the wafer using the lift pins above the chuck.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: September 27, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yan-Hong Liu, Che-Fu Chen
  • Patent number: 11393661
    Abstract: Embodiments described herein include a processing tool that comprises a processing chamber, a chuck for supporting a substrate in the processing chamber, a dielectric window forming a portion of the processing chamber, and a modular high-frequency emission source. In an embodiment, the modular high-frequency emission source comprises a plurality of high-frequency emission modules. In an embodiment, each high-frequency emission module comprises, an oscillator module, amplification module, and an applicator. In an embodiment, the amplification module is coupled to the oscillator module. In an embodiment, the applicator is coupled to the amplification module. In an embodiment, the applicator is positioned proximate to the dielectric window.
    Type: Grant
    Filed: April 20, 2018
    Date of Patent: July 19, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Hanh Nguyen, Thai Cheng Chua, Philip Allan Kraus
  • Patent number: 11355319
    Abstract: The present invention is a plasma processing apparatus that includes a processing chamber where plasma processing is performed on a sample, a radio frequency power supply that supplies radio frequency power to generate plasma, a sample stage on which the sample is placed, and a gas supply unit that supplies a gas to the processing chamber. The gas supply unit includes a first pipe that supplies a first gas as a gas for etching process to the processing chamber, a second pipe that supplies a second gas as a gas for etching process to the processing chamber, and a third pipe through which a third gas as a gas for deposition process flows. The third pipe is coupled to the second pipe. A fourth valve is arranged on the second pipe. The fourth valve prevents the third gas from flowing in a direction toward a supply source of the second gas.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: June 7, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Luke Joseph Himbele, Yasushi Sonoda, Takashi Uemura, Tomoyoshi Ichimaru, Junya Sasaki
  • Patent number: 11289351
    Abstract: There is provided a technique that includes a process chamber configured to process a substrate; a processing gas supply part configured to supply a processing gas to the substrate; a heater configured to heat the substrate; a transfer robot configured to transfer the substrate; a first power supply connected to at least one part selected from the group of the processing gas supply part, the heater, and the transfer robot; a first controller installed between the at least one part and the first power supply; a second power supply including two or more power sources configured to drive the first controller; and a second controller installed between the first controller and the second power supply and configured to allow power to be supplied to the first controller while setting a power ratio of each of the two or more power sources of the second power supply to a predetermined value.
    Type: Grant
    Filed: February 21, 2020
    Date of Patent: March 29, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Tomoyuki Yamada, Tadashi Kontani, Shigenori Tezuka
  • Patent number: 11214863
    Abstract: A method of controlling contamination of a vapor deposition apparatus includes: a wafer loading step of loading a wafer for contamination evaluation into a chamber of the vapor deposition apparatus; a heat treatment step of heat treating the wafer for contamination evaluation at a heat treatment temperature of 1190° C. or more at a hydrogen flow rate of 30 slm or less; a wafer unloading step of unloading the wafer for contamination evaluation from the inside of the chamber; and a wafer contamination evaluation step of evaluating a level of metal contamination of the wafer for contamination evaluation. In a method of producing an epitaxial wafer, epitaxial growth is performed using a vapor deposition apparatus whose contamination is controlled by the contamination controlling method.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: January 4, 2022
    Assignee: SUMCO CORPORATION
    Inventor: Shota Kinose
  • Patent number: 11204107
    Abstract: A valve system having a vacuum valve and a regulating unit is disclosed. The vacuum valve has a valve seat including a valve opening, a first seal surface, and a valve closure for closing the valve opening using a second seal surface. A drive unit coupled to the valve closure is designed to be adjusted to provide respective valve opening states. The regulating unit adjusts the valve opening state by actuating the drive unit based on a currently determined regulating variable and a target variable. The regulating unit has a checking function configured such that a series of states of the valve closure are detected as park of the regulating process, and the states are stored as current regulating data. The current regulating data is compared with specified target regulating data and process information is generated based on the comparison of the current and the target regulating data.
    Type: Grant
    Filed: November 2, 2017
    Date of Patent: December 21, 2021
    Assignee: VAT HOLDING AG
    Inventors: Christoph Böhm, Daniel Seitz
  • Patent number: 11127574
    Abstract: A plasma processing apparatus includes a conveyance unit that has a rotator in a vacuum container, and circulating carries a workpiece by the rotator along a circular conveyance path, a cylindrical member extended in a direction toward the conveyance path in the vacuum container, a window member that divides a gas space where a process gas is introduced and an exterior, and an antenna causing the process gas to generate inductive coupling plasma for plasma processing when power is applied. The cylindrical member is provided with an opposing part with the opening and faces the rotator, a dividing wall is provided between the opposing part and the rotator so as not to contact the opposing part and the rotator and not to move relative to the vacuum container, and the dividing wall is provided with an adjustment opening that faces the opening, and adjusts a range of the plasma processing.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: September 21, 2021
    Assignee: SHIBAURA MECHATRONICS CORPORATION
    Inventors: Yoshio Kawamata, Yu Kambe
  • Patent number: 11087959
    Abstract: Techniques are disclosed for methods and apparatus for performing plasma enhanced atomic layer deposition (PEALD) as well as plasma enhanced chemical vapor deposition (PECVD) in a single hybrid design and without requiring any mechanical intervention. Depending on the configuration/activation of an electrically controlled RF switch, in the PEALD mode, plasma is created by an ICP source above a grounded metal plate in the chamber. Alternatively, in the PECVD mode, the metal plate itself is RF-powered and produces the plasma around the substrate and below an underlying ceramic plate. Electrical isolation of the metal plate is preferably provided by a ceramic ring spacer. A stack of PEALD/PECVD films may thus be obtained by the present hybrid design in a single recipe. In certain aspects, an RF-bias is provided to the heated platen holding the substrate for better stress management of the PECVD layers.
    Type: Grant
    Filed: January 9, 2020
    Date of Patent: August 10, 2021
    Assignee: Nano-Master, Inc.
    Inventor: Birol Kuyel
  • Patent number: 11053591
    Abstract: A gas injection system, a reactor system including the gas injection system, and methods of using the gas injection system and reactor system are disclosed. The gas injection system can be used in gas-phase reactor systems to independently monitor and control gas flow rates in a plurality of channels of a gas injection system coupled to a reaction chamber.
    Type: Grant
    Filed: August 6, 2018
    Date of Patent: July 6, 2021
    Assignee: ASM IP Holding B.V.
    Inventors: Mingyang Ma, Junwei Su, Alexandros Demos, Xing Lin, Sam Kim, Gregory Michael Bartlett
  • Patent number: 10923329
    Abstract: An apparatus for processing reaction products that are deposited when an etching target film contained in a target object to be processed is etched is provided with: a processing chamber; a partition plate; a plasma source; a mounting table; a first processing gas supply unit; a second processing gas supply unit. The processing chamber defines a space, and the partition plate is arranged within the processing chamber and divides the space into a plasma generating space and a substrate processing space, while suppressing permeation of ions and vacuum ultraviolet rays. The plasma source generates a plasma in the plasma forming space. The mounting table is arranged in the substrate processing space to mount the target object thereon.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: February 16, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Nishimura, Akitaka Shimizu, Fumiko Yamashita, Daisuke Urayama
  • Patent number: 10903083
    Abstract: There is provided a substrate processing method which includes: treating a substrate using a fluorine-containing gas; and exposing the substrate to a moisture-containing atmosphere.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: January 26, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Keiko Hada, Akitaka Shimizu, Koichi Nagakura, Mitsuhiro Tachibana
  • Patent number: 10871477
    Abstract: Contaminant cleaning systems and related methods are provided. Exemplary embodiments include a reactive substance generator to produce or transfer reactive substance(s) that react with contaminant(s) on an item into a cleaning chamber. An analysis section can be attached to the cleaning chamber to perform gas analysis on gas samples brought into the analysis chamber that measure reaction byproducts from the reactive substance(s) interaction with the contaminants. An exemplary valve system can selectively couple the reactive substance generator, the analysis section, and the cleaning chamber. An exemplary pumping system, in combination with the valve system, can selectively generate differential pressure/vacuum levels between the reactive substance generator vs cleaning chamber as well as between the cleaning chamber and analysis section. For example, the analysis chamber can be configured to have a higher vacuum than the cleaning chamber to facilitate passage of gas test samples into the analysis chamber.
    Type: Grant
    Filed: August 22, 2016
    Date of Patent: December 22, 2020
    Assignee: The United States of America, as represented by the Secretary of the Navy
    Inventors: Mary M. Graupmann, Christopher H. Clark, Michael L. Bishop
  • Patent number: 10509321
    Abstract: A temperature controlling apparatus includes a platen, a fluid source, a chiller, a first conduit and a second conduit. The fluid source supplies a fluid. The chiller is coupled to the fluid source to cool the fluid in the fluid source to a cooling temperature. The first conduit includes a first inlet in communication with the fluid source, a first outlet and a first heater that heats the fluid from the cooling temperature to a first heating temperature. The fluid heated by the first heater is dispensed on the platen through the first outlet. The second conduit includes a second inlet in communication with the fluid source, a second outlet and a second heater that heats the fluid from the cooling temperature to a second heating temperature different from the first heating temperature. The fluid heated by the second heater is dispensed on the platen through the second outlet.
    Type: Grant
    Filed: January 30, 2018
    Date of Patent: December 17, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Hung Liao, Wei-Chang Cheng
  • Patent number: 10386829
    Abstract: A system for controlling an etch process includes an etching tool, a metrology tool, and a controller. The etching tool is controllable via a set of control parameters and may execute a plurality of etch recipes containing values of the set of control parameters. The controller may direct the etching tool to execute a plurality of etch recipes on a plurality of metrology targets; direct the metrology tool to generate metrology data indicative of two or more etch characteristics on the plurality of metrology targets; determine one or more relationships between the two or more etch characteristics and the set of control parameters based on the metrology data; and generate, based on the one or more relationships, a particular etch recipe to constrain one of the two or more etch characteristics and maintain the remainder of the two or more etch characteristics within defined bounds.
    Type: Grant
    Filed: September 15, 2016
    Date of Patent: August 20, 2019
    Assignee: KLA-Tencor Corporation
    Inventor: Franz Zach
  • Patent number: 10256108
    Abstract: A method for performing atomic layer etching (ALE) on a substrate, including the following method operations: performing a surface modification operation on a surface of the substrate, the surface modification operation configured to convert at least one monolayer of the substrate surface to a modified layer; performing a removal operation on the substrate surface, the removal operation configured to remove the modified layer from the substrate surface, wherein removing the modified layer occurs via a ligand exchange reaction that is configured to volatilize the modified layer; performing, following the removal operation, a plasma treatment on the substrate surface, the plasma treatment configured to remove residues generated by the removal operation from the substrate surface, wherein the residues are volatilized by the plasma treatment; repeating the foregoing operations until a predefined thickness has been etched from the substrate surface.
    Type: Grant
    Filed: February 17, 2017
    Date of Patent: April 9, 2019
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, Thorsten Lill, Richard Janek, John Boniface
  • Patent number: 10207219
    Abstract: The present disclosure relates to systems and methods for dehumidifying air by establishing a humidity gradient across a water selective permeable membrane in a dehumidification unit. Water vapor from relatively humid atmospheric air entering the dehumidification unit is extracted by the dehumidification unit without substantial condensation into a low pressure water vapor chamber operating at a partial pressure of water vapor lower than the partial pressure of water vapor in the relatively humid atmospheric air. For example, water vapor is extracted through a water permeable membrane of the dehumidification unit into the low pressure water vapor chamber. As such, the air exiting the dehumidification unit is less humid than the air entering the dehumidification unit. The low pressure water vapor extracted from the air is subsequently condensed and removed from the system at ambient conditions.
    Type: Grant
    Filed: January 31, 2014
    Date of Patent: February 19, 2019
    Assignee: The Texas A&M University System
    Inventors: David E. Claridge, Charles H. Culp
  • Patent number: 10184179
    Abstract: The present disclosure relates to methods and apparatus for an atomic layer deposition (ALD) processing chamber for device fabrication and methods for replacing a gas distribution plate and mask of the same. The ALD processing chamber has a slit valve configured to allow removal and replacement of a gas distribution plate and mask. The ALD processing chamber may also have actuators operable to move the gas distribution plate to and from a process position and a substrate support assembly operable to move the mask to and from a process position.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: January 22, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Jozef Kudela, John M. White, Dieter Haas
  • Patent number: 10153172
    Abstract: A method of etching a silicon oxide film on a substrate, includes generating reaction products containing moisture by modifying the silicon oxide film by supplying a mixed gas containing a gas containing a halogen element and a basic gas onto the surface of the silicon oxide film and making chemical reaction of the silicon oxide film with the mixed gas, generating different reaction products by modifying the silicon oxide film by supplying the gas containing a halogen element onto an interface between the silicon oxide film and the reaction products and making a chemical reaction on the silicon oxide film with the gas containing a halogen element by using the moisture contained in the reaction products, and heating and removing the reaction products and the different reaction products.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: December 11, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takuji Sako
  • Patent number: 9920425
    Abstract: A semiconductor manufacturing apparatus according to an embodiment includes a chamber that is capable of accommodating therein a plurality of semiconductor substrates. A gas supply part supplies process gas to the chamber. A top exhaust port is connected to a top portion of the chamber and exhausts gas within the chamber. A bottom exhaust port is connected to a bottom portion of the chamber and exhausts gas within the chamber. A controller controls a timing of supplying process gas from the gas supply part and a timing of switching between exhaust from the top exhaust port and exhaust from the bottom exhaust port.
    Type: Grant
    Filed: December 18, 2014
    Date of Patent: March 20, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Takayuki Matsui, Hajime Nagano
  • Patent number: 9716012
    Abstract: Provided are methods for selective deposition. Certain methods describe providing a first substrate surface; providing a second substrate surface; depositing a first layer of film over the first and second substrate surfaces, wherein the deposition has an incubation delay over the second substrate surface such that the first layer of film over the first substrate surface is thicker than the first layer of film deposited over the second substrate surface; and etching the first layer of film over the first and second substrate surfaces, wherein the first layer of film over the second substrate surface is at least substantially removed, but the first layer of film over the first substrate is only partially removed.
    Type: Grant
    Filed: December 4, 2014
    Date of Patent: July 25, 2017
    Assignee: Applied Materials, Inc.
    Inventors: David Thompson, Huixiong Dai, Patrick M. Martin, Timothy Michaelson, Kadthala R. Narendrnath, Robert Jan Visser, Jingjing Xu, Lin Zhang
  • Patent number: 9677940
    Abstract: An elemental analysis apparatus 101 includes a treatment vessel 108 of which at least a part is optically transparent, a first electrode 104 covered by insulator 103, a second electrode 102, a bubble-generating part which generates a bubble 106, a gas-supplying apparatus 105 which supplies gas to the bubble-generating part in an amount necessary for generating the bubble 106, a power supply 101 which applies voltage between the first electrode 104 and the second electrode 102, and an optical detection device 110 which determines an emission spectrum of plasma that is generated by application of the voltage, and the apparatus conducts qualitatively or quantitatively analysis of a component contained in the liquid 109 based on the emission spectrum determined by the optical detection device 110.
    Type: Grant
    Filed: February 19, 2014
    Date of Patent: June 13, 2017
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Hironori Kumagai, Shin-ichi Imai
  • Patent number: 9653264
    Abstract: Plasma processing apparatus and methods are disclosed. Embodiments of the present disclosure include a processing chamber having an interior space operable to receive a process gas, a substrate holder in the interior of the processing chamber operable to hold a substrate, and at least one dielectric window. A metal shield is disposed adjacent the dielectric window. The metal shield can have a peripheral portion and a central portion. The processing apparatus includes a primary inductive element disposed external to the processing chamber adjacent the peripheral portion of the metal shield. The processing apparatus can further include a secondary inductive element disposed between the central portion of the metal shield and the dielectric window. The primary and secondary inductive elements can perform different functions, can have different structural configurations, and can be operated at different frequencies.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: May 16, 2017
    Assignee: Mattson Technology, Inc.
    Inventors: Vladimir Nagorny, Dongsoo Lee, Andreas Kadavanich
  • Patent number: 9646848
    Abstract: A method for etching a silicon oxide film on a target substrate where an etching area is partitioned by pattern layers and stopping the etching before a base layer of the silicon oxide layer is etched is disclosed. The method includes heating the target substrate in a vacuum atmosphere and intermittently supplying, as an etching gas, at least one of a processing gas containing a hydrogen fluoride gas and an ammonia gas in a pre-mixed state and a processing gas containing a compound of nitrogen, hydrogen and fluorine to the target substrate from a gas supply unit multiple times.
    Type: Grant
    Filed: July 1, 2015
    Date of Patent: May 9, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Satoshi Toda, Kensaku Narushima, Hiroyuki Takahashi
  • Patent number: 9378954
    Abstract: Methods for forming a semiconductor devices are provided. A plasma pre-treatment operation is performed on a photoresist pattern formed over a material disposed over a substrate, and reduces critical dimensions (CDs) of features of the photoresist pattern to a greater extent at a central portion of the substrate than at outer portions of the substrate, thereby forming a treated pattern with a gradient of CDs. The material is then etched using the treated pattern as a photomask. An overetch operation that tends to reduce CDs of the etched features of the material to a greater extent at outer portions of the substrate than at the central portion of the substrate, is employed. The plasma pre-treatment operation is designed in conjunction with the overetch characteristics and, in combination, the operations produce etched features having CDs with a high degree of uniformity across the substrate.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: June 28, 2016
    Assignee: WAFERTECH, LLC
    Inventors: Cuker Huang, Yihguei Wey
  • Patent number: 9336996
    Abstract: A plasma processing system for generating plasma to process a wafer. The plasma processing system includes a set of top coils for initiating the plasma, a set of side coils for affecting distribution of the plasma, and a chamber structure for containing the plasma. The chamber structure includes a chamber wall and a dielectric member. The dielectric member includes a top, a vertical wall, and a flange. The top is connected through the vertical wall to the flange, and is connected through the vertical wall and the flange to the chamber wall. The set of top coils is disposed above the top. The set of side coils surrounds the vertical wall. A vertical inner surface of the vertical wall is configured to be exposed to the plasma. The inner diameter of the vertical wall is smaller than the inner diameter of the chamber wall.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: May 10, 2016
    Assignee: Lam Research Corporation
    Inventors: Maolin Long, Alex Paterson
  • Patent number: 9337072
    Abstract: The present invention generally provides methods and apparatus for monitoring and maintaining flatness of a substrate in a plasma reactor. Certain embodiments of the present invention provide a method for processing a substrate comprising positioning the substrate on an electrostatic chuck, applying an RF power between the an electrode in the electrostatic chuck and a counter electrode positioned parallel to the electrostatic chuck, applying a DC bias to the electrode in the electrostatic chuck to clamp the substrate on the electrostatic chuck, and measuring an imaginary impedance of the electrostatic chuck.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: May 10, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ganesh Balasubramanian, Amit Bansal, Eller Y. Juco, Mohamad Ayoub, Hyung-Joon Kim, Karthik Janakiraman, Sudha Rathi, Deenesh Padhi, Martin Jay Seamons, Visweswaren Sivaramakrishnan, Bok Hoen Kim, Amir Al-Bayati, Derek R. Witty, Hichem M'Saad, Anton Baryshnikov, Chiu Chan, Shuang Liu
  • Patent number: 9293353
    Abstract: A Faraday shield and a plasma processing chamber incorporating the Faraday shield is are provided. The plasma chamber includes an electrostatic chuck for receiving a substrate, a dielectric window connected to a top portion of the chamber, the dielectric window disposed over the electrostatic chuck, and a Faraday shield. The Faraday shield is disposed inside of the chamber and defined between the electrostatic chuck and the dielectric window. The Faraday shield includes an inner zone having an inner radius range that includes a first and second plurality of slots and an outer zone having an outer radius range that includes a third plurality of slots. The inner zone is adjacent to the outer zone. The Faraday shield also includes a band ring separating the inner zone and the outer zone, such that the first and second plurality of slots do not connect with the third plurality of slots.
    Type: Grant
    Filed: October 23, 2012
    Date of Patent: March 22, 2016
    Assignee: Lam Research Corporation
    Inventors: Maolin Long, Alex Paterson, Ricky Marsh, Ying Wu, John Drewery
  • Patent number: 9287437
    Abstract: A method for monitoring the process of fabricating solar cells generally comprises performing a reaction process in a chamber for a solar cell substructure, wherein the chamber includes a reaction solution that includes at least one chemical component. A concentration value is detected for the chemical component during the reaction process, via a detection assembly that is coupled to the chamber. The method further includes determining whether the detected concentration value is at a predefined threshold concentration level or within a predefined concentration range for the chemical component, via a control assembly that is coupled to the detection assembly. The concentration of the chemical component within the reaction solution is modified, during the reaction process, when the detected concentration value is different from the predefined threshold concentration level or different from the predefined concentration range.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: March 15, 2016
    Assignee: TSMC Solar Ltd.
    Inventors: Chung-Hsien Wu, Hung-Yu Chang
  • Patent number: 9163309
    Abstract: Generation of byproducts is inhibited in a buffer space even in a single-wafer-type apparatus using the buffer space. A method of manufacturing a semiconductor device includes (a) loading a substrate into a process chamber; (b) supplying a first-element-containing gas via a buffer chamber of a shower head to the substrate placed in the process chamber; (c) supplying a second-element-containing gas to the substrate via the buffer chamber; and (d) performing an exhaust process between (b) and (c), wherein (d) includes: exhausting an atmosphere of the buffer chamber; and exhausting an atmosphere of the process chamber after exhausting the atmosphere of the buffer chamber.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: October 20, 2015
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Tetsuo Yamamoto, Kazuhiro Morimitsu, Kazuyuki Toyoda, Kenji Ono, Tadashi Takasaki, Ikuo Hirose, Takafumi Sasaki
  • Patent number: 9117862
    Abstract: There is provided a substrate processing apparatus 101, comprising: a substrate holder 217 that holds a plurality of substrates (wafers) 200 in a state of being arranged in a lateral direction (approximately in a horizontal direction) approximately in a vertical posture; a processing tube 205 that houses the substrate holder 217; a throat side sealing part (throat side mechanical flange part) 2190 that air-tightly closes an opening part of the processing tube 205; a rotation part 255 that rotates the substrate holder 217 in a peripheral direction of the substrates, with an arrangement direction (a direction in which the substrates 200 are held) of the plurality of substrates 200 as a rotation axis, wherein the substrate holder 217 includes a fixing part (movable holding part 217c) and a fixture holding part 217a for fixing the substrates 200 approximately in a vertical posture.
    Type: Grant
    Filed: February 8, 2010
    Date of Patent: August 25, 2015
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Hideo Ishizu, Masayuki Suzuki
  • Patent number: 9119283
    Abstract: Systems and methods for performing chamber matching are described. One of the methods for performing chamber matching includes executing a first test within a first plasma chamber to measure a variable and executing a second test within a second plasma chamber to measure the variable. The first and second tests are executed based on one recipe. The method further includes determining a first relationship between the variable measured with the first test and power provided during the first test, determining a second relationship between the variable measured with the second test and power provided during the second test, and identifying power adjustment to apply to the second plasma chamber during a subsequent processing operation based on the first and second relationships. The power adjustment causes the second plasma chamber to perform the processing operation in a processing condition determined using the first plasma chamber.
    Type: Grant
    Filed: May 23, 2013
    Date of Patent: August 25, 2015
    Assignee: Lam Research Corporation
    Inventor: Luc Albarede
  • Publication number: 20150140828
    Abstract: A method of etching an etching target layer containing polycrystalline silicon includes preparing a target object including the etching target layer and a mask formed on the etching target layer; and etching the etching target layer with the mask. Further, the mask includes a first mask portion formed of polycrystalline silicon and a second mask portion interposed between the first mask portion and the etching target layer and formed of silicon oxide. Furthermore, in the etching of the etching target layer, a first gas for etching the etching target layer, a second gas for removing a deposit adhering to the mask, and a third gas for protecting the first mask portion are supplied into a processing vessel in which the target object is accommodated, and plasma of these gases is generated within the processing vessel.
    Type: Application
    Filed: May 27, 2013
    Publication date: May 21, 2015
    Inventor: Masafumi Urakawa
  • Publication number: 20150136171
    Abstract: A plasma ashing system includes a process chamber including a substrate. A carrier gas supply supplies a carrier gas to the processing chamber. A plasma source is configured to create plasma to the process chamber. A liquid injection source is configured to at least one of inject a compound into the plasma or supply the compound into the plasma. The compound is normally a liquid at room temperature and at atmospheric pressure. A controller is configured to control the liquid injection source, to expose the substrate to the plasma for a predetermined period and to purge reactants from the processing chamber after the predetermined period.
    Type: Application
    Filed: November 18, 2013
    Publication date: May 21, 2015
    Applicant: Lam Research Corporation
    Inventors: Carlo Waldfried, Orlando Escorcia
  • Publication number: 20150111388
    Abstract: A substrate processing method for processing a substrate by supplying a processing gas into a processing chamber and allowing the processing gas to react on the substrate in the processing chamber by using a substrate processing apparatus includes the processing chamber accommodating the substrate, a processing gas supply unit for supplying the processing gas into the processing chamber, and a gas exhaust unit, for exhausting the processing chamber, having a turbo molecular pump. The method controls a processing uniformity by controlling a revolution speed of the turbo molecular pump while maintaining a pressure in the processing chamber to a predetermined level when by-products having a larger molecular mass compared to the processing gas are generated by the reaction of the processing gas.
    Type: Application
    Filed: October 17, 2014
    Publication date: April 23, 2015
    Inventors: Hiroyuki TAKAHASHI, Taechun KWON
  • Patent number: 8997686
    Abstract: A system for and method of delivering pulses of a desired mass of gas to a tool is described.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: April 7, 2015
    Assignee: MKS Instruments, Inc.
    Inventor: Junhua Ding
  • Patent number: 8992722
    Abstract: A direct drive arrangement for controlling pressure volume within a confinement region of a processing chamber of a plasma processing system during substrate processing is provided. The confinement region is a chamber volume surrounded by confinement rings is provided. The arrangement includes plunger assemblies configured for changing the pressure of motor assemblies configured for vertically moving the plunger assemblies, and recording set point position values for the plunger assemblies. The arrangement further includes a set of circuits configured for driving the motor assemblies to move the plunger assemblies to change the pressure volume within the confinement region. The set of circuits is also configured for providing power to the motor assemblies. The set of circuits is further configured for receiving the set point position values from the motor assemblies.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: March 31, 2015
    Assignee: Lam Research Corporation
    Inventors: John W. Rasnick, Fred D. Egley
  • Patent number: 8986493
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Masaru Nishino
  • Patent number: 8986492
    Abstract: A method for forming an array area with a surrounding periphery area, wherein a substrate is disposed under an etch layer, which is disposed under a patterned organic mask defining the array area and covers the entire periphery area is provided. The patterned organic mask is trimmed. An inorganic layer is deposited over the patterned organic mask where a thickness of the inorganic layer over the covered periphery area of the organic mask is greater than a thickness of the inorganic layer over the array area of the organic mask. The inorganic layer is etched back to expose the organic mask and form inorganic spacers in the array area, while leaving the organic mask in the periphery area unexposed. The organic mask exposed in the array area is stripped, while leaving the inorganic spacers in place and protecting the organic mask in the periphery area.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: March 24, 2015
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Amit Jain
  • Publication number: 20150064810
    Abstract: An embodiment low contamination chamber includes a gas inlet, an adjustable top electrode, an adjustable bottom electrode, and an outlet. The chamber is configured to adjust a distance between the adjustable top and bottom electrodes in accordance with a desired density of plasma disposed between the top electrode and the bottom electrode.
    Type: Application
    Filed: August 30, 2013
    Publication date: March 5, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ping-Yin Liu, Xin-Hua Huang, Lee-Chuan Tseng, Lan-Lin Chao
  • Publication number: 20150064920
    Abstract: A plasma processing system and method includes a processing chamber, and a plasma processing volume included therein. The plasma processing volume having a volume less than the processing chamber. The plasma processing volume being defined by a top electrode, a substrate support surface opposing the surface of the top electrode and a plasma confinement structure including at least one outlet port. A conductance control structure is movably disposed proximate to the at least one outlet port and capable of restricting an outlet flow through the at least one outlet port to a first flow rate and capable of increasing the outlet flow through the at least one outlet port to a second flow rate, wherein the conductance control structure restricts the outlet flow rate moves between the first flow rate and the second flow rate corresponding to a selected processing state set by the controller during a plasma process.
    Type: Application
    Filed: August 28, 2013
    Publication date: March 5, 2015
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Harmeet Singh, Sang Ki Nam
  • Publication number: 20150064924
    Abstract: In a method for etching an organic film according to an embodiment, a target object that has an organic film is set in a processing chamber. Then, a processing gas containing COS gas and O2 gas is supplied to the processing chamber and a microwave for plasma excitation is supplied to the inside of the processing chamber to etch the organic film.
    Type: Application
    Filed: March 26, 2013
    Publication date: March 5, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiroyuki Takaba, Hironori Matsuoka
  • Publication number: 20150064922
    Abstract: Provided is a method of selectively removing a first region from a workpiece which includes the first region formed of silicon oxide and a second region formed of silicon. The method performs a plurality of sequences. Each sequence includes: forming a denatured region by generating plasma of a processing gas that contains hydrogen, nitrogen, and fluorine within a processing container that accommodates the workpiece so as to denature a portion of the first region, and removing the denatured region within the processing container. In addition, a sequence subsequent to a predetermined number of sequences after a first sequence among the plurality of sequences further includes exposing the workpiece to plasma of a reducing gas which is generated within the processing container, prior to the forming of the denatured region.
    Type: Application
    Filed: August 27, 2014
    Publication date: March 5, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akinori KITAMURA, Hiroto OHTAKE, Eiji SUZUKI
  • Publication number: 20150053346
    Abstract: A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
    Type: Application
    Filed: November 4, 2014
    Publication date: February 26, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki MATSUMOTO, Wataru YOSHIKAWA, Yasuhiro SEO, Kazuyuki KATO
  • Patent number: 8956499
    Abstract: An object is to provide a plasma processing device capable of accurately judging whether or not the proper maintenance time has come which is necessary for maintaining an operation state of a device in the best condition. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: February 17, 2015
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Publication number: 20150032245
    Abstract: A method includes receiving a voltage and current measured at an output of an RF generator of a first plasma system and calculating a first model etch rate based on the voltage and current, and a power. The method further includes receiving a voltage and current measured at an output of the RF generator of a second plasma system, determining a second model etch rate based on the voltage and current at the output of the RF generator of the second plasma system, and comparing the second model etch rate with the first model etch rate. The method includes adjusting a power at the output of the RF generator of the second plasma system to achieve the first model etch rate associated with the first plasma system upon determining that the second model etch rate does not match the first model etch rate. The method is executed by a processor.
    Type: Application
    Filed: April 2, 2014
    Publication date: January 29, 2015
    Applicant: Lam Research Corporation
    Inventors: John C. Valcore, JR., Harmeet Singh, Henry Povolny
  • Publication number: 20150020971
    Abstract: A method for processing substrate in a chamber, which has at least one plasma generating source, a reactive gas source for providing reactive gas into the interior region of the chamber, and a non-reactive gas source for providing non-reactive gas into the interior region, is provided. The method includes performing a mixed-mode pulsing (MMP) preparation phase, including flowing reactive gas into the interior region and forming a first plasma to process the substrate that is disposed on a work piece holder. The method further includes performing a MMP reactive phase, including flowing at least non-reactive gas into the interior region, and forming a second plasma to process the substrate, the second plasma is formed with a reactive gas flow during the MMP reactive phase that is less than a reactive gas flow during the MMP preparation phase. Perform the method steps a plurality of times.
    Type: Application
    Filed: October 9, 2014
    Publication date: January 22, 2015
    Inventor: Keren Jacobs Kanarik