For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Publication number: 20130256269
    Abstract: The described embodiments relate generally to the manufacturing of consumer electronics and computing devices, and more particularly to providing mechanisms that modify the surface energy of a substrate to facilitate the forming of a bond between disparate materials. In one embodiment, the surface energy of a polyester substrate can be enhanced by exposing a surface of the polyester substrate to a plasma formed from approximately 90% atmospheric air, 5% carbon dioxide, and 5% argon. In another embodiment, contaminants can be removed from the surface of the polyester substrate and the surface energy of the substrate can be increased by exposing the polyester substrate first to an argon plasma etching process and second to a plasma formed from approximately 95% atmospheric air and 5% carbon dioxide.
    Type: Application
    Filed: September 28, 2012
    Publication date: October 3, 2013
    Applicant: Apple Inc.
    Inventor: Michael M. NIKKHOO
  • Patent number: 8547085
    Abstract: An arrangement for measuring process parameters within a processing chamber is provided. The arrangement includes a probe arrangement disposed in an opening of an upper electrode. Probe arrangement includes a probe head, which includes a head portion and a flange portion. The arrangement also includes an o-ring disposed between the upper electrode and the flange portion. The arrangement further includes a spacer made of an electrically insulative material positioned between the head portion and the opening of the upper electrode to prevent the probe arrangement from touching the upper electrode. The spacer includes a disk portion configured for supporting an underside of the flange portion. The spacer also includes a hollow cylindrical portion configured to encircle the head portion. The spacer forms a right-angled path between the o-ring and an opening to the processing chamber to prevent direct line-of-sight path between the o-ring and the opening to the processing chamber.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: October 1, 2013
    Assignee: Lam Research Corporation
    Inventors: Jean-Paul Booth, Douglas Keil
  • Patent number: 8545711
    Abstract: A processing method performs a predetermined process to an object by supplying a process gas at a prescribed flow rate into a process container to which a gas supply unit and an exhaust system are connected. The processing method includes a first process of setting the gas supply unit to supply a process gas at a flow rate greater than the prescribed flow rate of a predetermined process for a predetermined short time from a gas channel while exhausting an atmosphere in the process container through the exhaust system; and a second process of setting the gas supply unit to supply the process gas at the prescribed flow rate from the gas channel after the first process is completed.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: October 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Koji Kotani, Kouji Tanaka
  • Patent number: 8545669
    Abstract: A plasma processing system is provided with diagnostic apparatus for making in-situ measurements of plasma properties. The diagnostic apparatus generally comprises a non-invasive sensor array disposed within a plasma processing chamber, an electrical circuit for stimulating the sensors, and means for recording and communicating sensor measurements for monitoring or control of the plasma process. In one form, the sensors are dynamically pulsed dual floating Langmuir probes that measure incident charged particle currents and electron temperatures in proximity to the plasma boundary or boundaries within the processing system. The plasma measurements may be used to monitor the condition of the processing plasma or furnished to a process system controller for use in controlling the plasma process.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 1, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Leonard J. Mahoney, Carl W. Almgren, Gregory A. Roche, William W. Saylor, William D. Sproul, Hendrik V. Walde
  • Publication number: 20130252355
    Abstract: A method includes performing a patterning step on a layer using a process gas. When the patterning step is performed, a signal strength is monitored, wherein the signal strength is from an emission spectrum of a compound generated from the patterning step. The compound includes an element in the patterned layer. At a time the signal strength is reduced to a pre-determined threshold value, the patterning step is stopped.
    Type: Application
    Filed: March 23, 2012
    Publication date: September 26, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keng-Ying Liao, Szu-Hung Yang, Chiung Wen Hsu
  • Patent number: 8529728
    Abstract: A system for forming a feature includes forming a mask of a first material on an underlying layer, the mask having an incorrect profile. The profile of the mask is corrected and a feature is formed in the underlying layer. A method of forming a feature is also disclosed.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: September 10, 2013
    Assignee: Lam Research Corporation
    Inventor: Robert Charatan
  • Publication number: 20130228550
    Abstract: There is provided dry etching apparatus including a stage on which a wafer is placed, an antenna electrode, a high frequency power supply, a shower plate, and an RF bias power supply. Further, a bias path controller is provided on the side of the antenna electrode. The bias path controller resonates in series with the static reactance formed by the shower plate with respect to the frequency of the RF bias. Then, the bias path controller changes and grounds the impedance by the variable inductive reactance. With this mechanism, highly uniform etching can be achieved even if a shower plate of quartz is used for corrosive gases.
    Type: Application
    Filed: August 9, 2012
    Publication date: September 5, 2013
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Masahito MORI, Masaru Izawa, Katsushi Yagi
  • Publication number: 20130210241
    Abstract: A method of depositing a film on a substrate surface includes providing a substrate in a reaction chamber; selecting a silicon-containing reactant from a precursor group consisting of di-tert-butyl diazidosilane, bis(ethylmethylamido)silane, bis(diisopropylamino)silane, bis(tert-butylhydrazido)diethylsilane, tris(dimethylamido) silylazide, tris(dimethylamido)silylamide, ethylsilicon triazide, diisopropylaminosilane, and hexakis(dimethylamido)disilazane; introducing the silicon-containing reactant in vapor phase into the reaction chamber under conditions allowing the silicon-containing reactant to adsorb onto the substrate surface; introducing a second reactant in vapor phase into the reaction chamber while the silicon-containing reactant is adsorbed on the substrate surface, and wherein the second reactant is introduced without first sweeping the silicon-containing reactant out of the reaction chamber; and exposing the substrate surface to plasma to drive a reaction between the silicon-containing reactant and
    Type: Application
    Filed: March 1, 2012
    Publication date: August 15, 2013
    Applicant: Novellus Systems Inc.
    Inventors: Adrien LaVoie, Mark J. Saly, Daniel Moser, Rajesh Odedra, Ravi Kanjolia
  • Patent number: 8505478
    Abstract: Developed is high-efficiency synthesis method and apparatus capable of promoting the initial growth of carbon nanostructure by eliminating the initial fluctuation time and rising time in raw gas flow quantity.-A high-efficiency synthesis method of carbon nanostructure according to the present invention is a high-efficiency synthesis method of carbon nanostructure, the method comprising: bringing raw material gas and a catalyst into contact with each other under reactive conditions so as to produce a carbon nanostructure, wherein: the initiation of contact of the raw material gas with the catalyst is carried out instantaneously. Reaction conditions such as temperature and raw material gas concentration are set so as to meet those for catalyst growth, and under the reaction conditions, the initiation of contact of raw material gas G with catalyst 6 is carried out instantaneously.
    Type: Grant
    Filed: August 4, 2010
    Date of Patent: August 13, 2013
    Assignee: Taiyo Nippon Sanso Corporation
    Inventors: Osamu Suekane, Toshikazu Nosaka, Yoshikazu Nakayama, Lujun Pan, Takeshi Nagasaka, Toru Sakai, Hiroyuki Tsuchiya, Toshiki Goto, Xu Li
  • Patent number: 8500951
    Abstract: A method for etching a bevel edge of a substrate is provided. A patterned photoresist mask is formed over the etch layer. The bevel edge is cleaned comprising providing a cleaning gas comprising at least one of a CO2, CO, CxHy, H2, NH3, CxHyFz and a combination thereof, forming a cleaning plasma from the cleaning gas, and exposing the bevel edge to the cleaning plasma. Features are etched into the etch layer through the photoresist features and the photoresist mask is removed.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: August 6, 2013
    Assignee: Lam Research Corporation
    Inventors: Yunsang Kim, Andrew Bailey, III, Jack Chen
  • Patent number: 8491750
    Abstract: A plasma confinement assembly for a semiconductor processing chamber is provided. The assembly includes a plurality of confinement rings disposed over each other, and each of the plurality of confinement rings is separated by a space. A plunger moveable in a plane substantially orthogonal to the confinement rings. A proportional adjustment support is provided and coupled to the plunger. The proportional adjustment support is configured to move the confinement rings to one or more positions, such that the plunger is settable in positions along the plane. The positions define the space separating confinement rings, and the space is proportionally set between the confinement rings. The proportional adjustment support is defined by a plurality of support legs, and each of the support legs is pivotably interconnected with at least one other support leg.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: July 23, 2013
    Assignee: Lam Research Corporation
    Inventor: Peter Cirigliano
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8480912
    Abstract: Provided are a plasma processing apparatus and a plasma processing method, by which plasma damage is reduced during processing. At the time of performing desired plasma processing to a substrate (5), a process chamber (2) is supplied with an inert gas for carrying in and out the substrate (5), pressure fluctuation in the process chamber (2) is adjusted to be within a prescribed range, and plasma (20) of the inert gas supplied in the process chamber (2) is generated. The density of the plasma (20) in the transfer area of the substrate (5) is reduced by controlling plasma power to be in a prescribed range, and the substrate (5) is carried in and out to and from a supporting table (4).
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: July 9, 2013
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Ryuichi Matsuda, Masahiko Inoue, Kazuto Yoshida, Tadashi Shimazu
  • Publication number: 20130153147
    Abstract: A dechuck control method includes performing a discharge process by introducing an inert gas into a processing chamber and maintaining the pressure within the processing chamber at a first pressure; monitoring the pressure of a heat transmitting gas supplied to the processing object rear face and/or the leakage flow rate of the heat transmitting gas; obtaining the amount and polarity of the residual electric charge of the electrostatic chuck surface and applying a voltage for supplying an electric charge that is of the same amount as the residual electric charge but of the opposite polarity to a chuck electrode; evacuating the inert gas from the processing chamber while applying the voltage to the chuck electrode and reducing the pressure within the processing chamber to a second pressure; and turning off the voltage applied to the electrostatic chuck and dechucking the processing object from the electrostatic chuck.
    Type: Application
    Filed: December 19, 2012
    Publication date: June 20, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Patent number: 8465593
    Abstract: A substrate processing apparatus that can prevent formation of deposit in openings of a plurality of gas supply holes leading into a processing chamber. Each of the gas supply holes is configured to uniformly supply a processing gas, whose molecules are turned into clusters, into the processing chamber and to prevent liquefaction of processing gas when the processing gas is supplied into the processing chamber.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Daisuke Hayashi, Morihiro Takanashi
  • Patent number: 8459202
    Abstract: A gas flow of a gas pipe is indicated before an electromagnetic valve is actually opened, so that the electromagnetic valve can be prevented from being opened or closed by a wrong manipulation or hazards caused by undesired mixing of gases can be avoided so as to improve safety. The substrate processing apparatus includes a state detection unit configured to detect an opening/closing request state and an opening/closing state of a valve installed at a gas pipeline; and a indication unit configured to indicate a gas flow state of the gas pipeline predicted according to the opening/closing request state and a gas flow state of the gas pipeline when the valve is opened, in a way that each state is distinguished.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: June 11, 2013
    Assignee: Hitachi Kokusai Electronics Inc.
    Inventors: Tomoyuki Yamada, Mamoru Oishi, Kanako Kitayama
  • Patent number: 8461062
    Abstract: The substrate processing apparatus includes: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; at least one processing gas supply nozzle which extends running along an inner wall of the processing chamber in the stacking direction of the substrates and supplies a processing gas to the inside of the processing chamber; a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich the processing gas supply nozzle from both sides thereof along the circumferential direction of the substrates and which supply the inactive gas to the inside of the processing chamber; and an exhaust line for exhausting the inside of the processing chamber.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: June 11, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Publication number: 20130126093
    Abstract: Prior to wafer processing, pressure ratio control is executed on a divided flow rate adjustment means so as to adjust the flow rates of divided flows to achieve a target pressure ratio with regard to the pressures in the individual branch passages. As the processing gas from a processing gas supply means is diverted into first and second branch pipings under the pressure ratio control and the pressures in the branch passages then stabilize, the control on the divided flow rate adjustment means is switched to steady pressure control for adjusting the flow rates of the divided flows so as to hold the pressure in the first branch passage at the level achieved in the stable pressure condition. Only after the control is switched to the steady pressure control, an additional gas is delivered into the second branch passage via an additional gas supply means.
    Type: Application
    Filed: January 11, 2013
    Publication date: May 23, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: TOKYO ELECTRON LIMITED
  • Publication number: 20130119016
    Abstract: A plasma processing apparatus includes a plasma processing chamber, a process monitor which monitors a condition in the plasma processing chamber, an actuator which controls a parameter constituting a plasma processing condition, N+1 correction amount calculating units which calculate a correction amount of a manipulated variable on the basis of a difference between a process monitor value monitored by the process monitor and a desired value of the process monitor and a correlation between the process monitor value and a manipulated variable, which is the parameter, the correlation having been acquired in advance, and N manipulated variable adding units that add a manipulated variable having a priority level next to an N-th manipulated variable. The N-th manipulated variable adding unit defines a correction amount calculated by the N+1-th correction amount calculating unit as the correction amount of an N+1-th manipulated variable.
    Type: Application
    Filed: January 24, 2012
    Publication date: May 16, 2013
    Inventors: Akira KAGOSHIMA, Daisuke SHIRAISHI, Yuji NAGATANI
  • Publication number: 20130118688
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Application
    Filed: December 18, 2012
    Publication date: May 16, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: TOKYO ELECTRON LIMITED
  • Publication number: 20130122711
    Abstract: A system, method and apparatus for increasing an energy level of the ions emitted from a plasma include a plasma chamber, including a top electrode and a bottom electrode, a multiple RF sources, at least one of the RF sources being coupled to the bottom electrode. A phase locking circuit is coupled to at least two of the RF sources hereafter designated the first RF source and the second RF source. A controller is coupled to the plasma chamber, each of the RF sources and the phase locking circuit. The controller including operating system software, multiple logic circuits and a process recipe.
    Type: Application
    Filed: November 10, 2011
    Publication date: May 16, 2013
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Eric Hudson, Andrew D. Bailey, III
  • Patent number: 8440019
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: May 14, 2013
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Publication number: 20130115781
    Abstract: A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
    Type: Application
    Filed: December 27, 2012
    Publication date: May 9, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: TOKYO ELECTRON LIMITED
  • Publication number: 20130092322
    Abstract: A gas supply unit, for supplying a gas into a processing chamber in which a substrate is processed, includes a plurality of gas supply sources, a mixing line for mixing a plurality of gases supplied from the gas supply sources to make a gaseous mixture, a multiplicity of branch lines for branching the gaseous mixture to be supplied to a multiplicity of places in the processing chamber, and an additional gas supply unit for supplying a specified additional gas to a gaseous mixture flowing in at least one branch line. The gas supply unit also includes pressure gauges and valves for adjusting gas flow rates in the branch lines, respectively, and a pressure ratio controller for controlling that gaseous mixtures branched into the branch lines to have a specified pressure ratio by adjusting opening degrees of the valves based on measurement results obtained by using the pressure gauges.
    Type: Application
    Filed: November 30, 2012
    Publication date: April 18, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: TOKYO ELECTRON LIMITED
  • Patent number: 8420168
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 16, 2013
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Publication number: 20130087283
    Abstract: In one embodiment, a plasma processing device may include a dielectric window, a vacuum chamber, an energy source, and at least one air amplifier. The dielectric window may include a plasma exposed surface and an air exposed surface. The vacuum chamber and the plasma exposed surface of the dielectric window can cooperate to enclose a plasma processing gas. The energy source can transmit electromagnetic energy through the dielectric window and form an elevated temperature region in the dielectric window. The at least one air amplifier can be in fluid communication with the dielectric window. The at least one air amplifier can operate at a back pressure of at least about 1 in-H2O and can provide at least about 30 cfm of air.
    Type: Application
    Filed: November 9, 2011
    Publication date: April 11, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jon McChesney, Saravanapriyan Sriraman, Ricky Marsh, Alex Paterson, John Holland
  • Publication number: 20130087284
    Abstract: An apparatus for reducing very low frequency line width roughness (LWR) is provided. A plasma processing chamber is provided, comprising a chamber wall, a substrate support, a pressure regulator, at least one antenna, a gas inlet, and a gas outlet. A gas source comprises an etchant gas source and a H2 treatment gas source. A controller comprises at least one processor and computer readable media, comprising computer readable code for treating a patterned organic mask, comprising computer readable code for flowing a treatment gas comprising H2, wherein the treatment gas has a flow rate and H2 has a flow rate that is at least 50% of the flow rate of the treatment gas, computer readable code for forming a plasma, and computer readable code for stopping the flow of the treatment gas, and computer readable code for etching the etch layer through the treated patterned organic mask.
    Type: Application
    Filed: September 26, 2012
    Publication date: April 11, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Lam Research Corporation
  • Publication number: 20130089934
    Abstract: A system and method for controlling saturated vapor pressure of a precursor material is provided. An embodiment comprises generating a calibration curve and utilizing the calibration curve to control a temperature of the precursor material in order to control its saturated vapor pressure. Alternatively, the calibration curve may be substituted for a real time sensor which can take readings in real time and adjust the temperature and saturated vapor pressure based upon the real time readings.
    Type: Application
    Filed: October 7, 2011
    Publication date: April 11, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ke-Chih Liu, Chia-Ming Tsai, Yen-Yu Chen
  • Publication number: 20130084706
    Abstract: The invention provides a plurality of Surface Wave Antenna (SWA) plasma sources. The SWA plasma sources can comprise one or more non-circular slot antennas, each having a plurality of plasma-tuning rods extending therethrough. Some of the plasma tuning rods can be configured to couple the electromagnetic (EM) energy from one or more of the non-circular slot antennas to the process space within the process chamber. The invention also provides SWA plasma sources that can comprise a plurality of resonant cavities, each having one or more plasma-tuning rods extending therefrom. Some of the plasma tuning rods can be configured to couple the EM energy from one or more of the resonant cavities to the process space within the process chamber.
    Type: Application
    Filed: September 30, 2011
    Publication date: April 4, 2013
    Applicant: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihiko Iwao, Peter L.G. Ventzek
  • Publication number: 20130078816
    Abstract: A substrate processing apparatus includes: a process chamber accommodating a substrate including a polysilicon film having an oxygen-containing layer formed thereon; a heating unit in the process chamber to heat the substrate; a gas supply unit to supply a process gas containing nitrogen and hydrogen to the substrate in the process chamber; an excitation unit to excite the process gas supplied into the process chamber; an exhaust unit to exhaust an inside of the process chamber; and a control unit to control at least the heating unit, the gas supply unit, the excitation unit and the exhaust unit for modifying the oxygen-containing layer into an oxynitride or nitride layer by heating the substrate to a predetermined temperature using the heating unit, exciting the process gas supplied by the gas supply unit using the excitation unit, and supplying the process gas excited by the excitation unit to the substrate.
    Type: Application
    Filed: September 26, 2012
    Publication date: March 28, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: HITACHI KOKUSAI ELECTRIC INC.
  • Patent number: 8402845
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: March 26, 2013
    Assignee: Intermolecular, Inc.
    Inventor: Jay B. Dedontney
  • Patent number: 8397667
    Abstract: The invention provides a process for coating workpieces by plasma-induced chemical vapor deposition, in which a process gas is introduced into a coating chamber and a plasma is ignited by electromagnetic energy in at least one region of the coating chamber which adjoins the workpiece and in which the process gas is present, wherein the coating operation is monitored on the basis of at least one measured spectral parameter of the plasma, and the workpiece is removed in the event of a deviation from a desired range for the parameter.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: March 19, 2013
    Assignee: Schott AG
    Inventors: Stephan Behle, Andreas Lüettringhaus-Henkel, Peter Eimann, Juergen Klein
  • Publication number: 20130061871
    Abstract: During each idle period in which a plasma processing tool is not used in succession, upon lapse of a selected period of inactivity by the plasma production tool of between 10 and 60 minutes, a plasma is generated within the plasma processing tool to heat the vacuum enclosure to an operating temperature reached during production use of the plasma processing tool. A gas-only purge is then performed, and the vacuum enclosure is pumped down to a base vacuum to remove small particles of less than 0.12 microns that may otherwise generate on the interior walls of the vacuum enclosure. Extended operation of the plasma processing tool without failure of particle qualification or reduced availability is achieved.
    Type: Application
    Filed: July 27, 2012
    Publication date: March 14, 2013
    Applicant: Texas Instruments Incorporated
    Inventors: David Henry Collins, Carl Kenneth Elliott
  • Publication number: 20130062735
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Application
    Filed: November 5, 2012
    Publication date: March 14, 2013
    Applicant: Lam Research Corporation
    Inventor: Lam Research Corporation
  • Publication number: 20130059448
    Abstract: Embodiments for processing a substrate in a pulsed plasma chamber are provided. A processing apparatus with two chambers, separated by a plate fluidly connecting the chambers, includes a continuous wave (CW) controller, a pulse controller, and a system controller. The CW controller sets the voltage and the frequency for a first radio frequency (RF) power source coupled to a top electrode. The pulse controller is operable to set voltage, frequency, ON-period duration, and OFF-period duration for a pulsed RF signal generated by a second RF power source coupled to the bottom electrode. The system controller is operable to set parameters to regulate the flow of species between the chambers to assist in the negative-ion etching, to neutralize excessive positive charge on the wafer surface during afterglow in the OFF period, and to assist in the re-striking of the bottom plasma during the ON period.
    Type: Application
    Filed: September 7, 2011
    Publication date: March 7, 2013
    Applicant: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Eric Hudson, Andrew D. Bailey, III
  • Patent number: 8366869
    Abstract: A processing apparatus includes a process container having a placing table for placing a processing object, an exhaust system having vacuum pumps and a pressure control valve for exhausting atmosphere in the process container. A gas injection unit having a gas ejection hole is provided in the process container, as well as a gas supplying unit for supplying a process gas to the gas injection unit. The entire process apparatus is controlled by a controlling unit. The control unit controls the exhaust system and the gas supplying unit. When starting a predetermined process, the process gas at a flow rate greater than a prescribed flow rate is supplied for a short time while exhausting the atmosphere in the process container by the exhaust system, and then the process gas at a prescribed flow rate is supplied.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: February 5, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Koji Kotani, Kouji Tanaka
  • Patent number: 8367566
    Abstract: A substrate processing apparatus having a processing chamber for processing a substrate; a processing gas feeding line for feeding a processing gas into the processing chamber; an inert gas feeding line for feeding an inert gas into the processing chamber; an inert gas vent line provided in the inert gas feeding line, for exhausting the inert gas fed into the inert gas feeding line without feeding the inert gas into the processing chamber; a first valve provided in the inert gas feeding line, on a downstream side of a part where the inert gas vent line is provided in the inert gas feeding line; a second valve provided in the inert gas vent line; and an exhaust line that exhausts an inside of the processing chamber.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: February 5, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Atsushi Sano, Hideharu Itatani, Mitsuro Tanabe
  • Patent number: 8366868
    Abstract: A substrate processing apparatus cleaning method that includes: containing a cleaning gas in a reaction tube without generating a gas flow of the cleaning gas in the reaction tube by supplying the cleaning gas into the reaction tube and by completely stopping exhaustion of the cleaning gas from the reaction tube or by exhausting the cleaning gas at an exhausting rate which substantially does not affect uniform diffusion of the cleaning gas in the reaction tube from at a point of time of a period from a predetermined point of time before the cleaning gas is supplied into the reaction tube to a point of time when several seconds are elapsed after starting of supply of the cleaning gas into the reaction tube; and thereafter exhausting the cleaning gas from the reaction tube.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: February 5, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuyuki Okuda, Toru Kagaya, Masanori Sakai
  • Patent number: 8366828
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein to face a mounting table for mounting thereon the substrate and formed of a laminated body in which a plurality of plate-shaped members are laminated. The shower head serves to supply one or more gases in a shower shape toward the substrate. The shower head includes a first gas supply unit for supplying a first gas toward the substrate through first gas injection openings provided in the laminated body, a second gas supply unit for supplying a second gas through second gas injection openings provided in the laminated body and a plurality of gas exhaust holes, formed through the laminated body, for exhausting a gas through a portion of the laminated body, the portion facing the mounting table.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: February 5, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Publication number: 20130025786
    Abstract: An improved gas delivery system and method delivers a sequence of pulses of prescribed amounts of at least two gases to a process chamber of a process tool in accordance with a predetermined recipe of steps of a gas delivery process. The system comprises: a plurality of channels, each including a control valve connected so as to control each pulse of gas flowing through the corresponding channel into the process chamber of the process tool; and an exhaust valve for controlling the pressure within the process chamber, the exhaust valve including a valve controller for controlling the operation of the gas delivery system including the control valves and the exhaust valve in accordance with the predetermined recipe of steps.
    Type: Application
    Filed: July 28, 2011
    Publication date: January 31, 2013
    Inventors: Vladislav Davidkovich, Martin Ryan, David Chamberlain, Philip W. Sullivan, Paul D. Lucas, John Thomas Hillhouse, Robert Krmpotich
  • Publication number: 20130029496
    Abstract: A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Inventors: Matthias Bauer, Gregory M. Bartlett
  • Publication number: 20130017626
    Abstract: According to one embodiment, an etching apparatus includes a stage having an upper surface and a lower surface, and being capable of mounting a substrate on the upper surface, a chamber covering above the upper surface, a lower electrode having an opening portion, and provided under the lower surface, a gas supplying portion supplying an etching gas in the chamber, a high-frequency power source portion executing a plasma gasification of the etching gas by applying a high-frequency to the lower electrode, a micro wave generating portion setting a temperature of the substrate within an optimum range by applying a micro wave to the substrate through the opening portion, and a control portion controlling the gas supplying portion, the high-frequency power source portion and the micro wave generating portion.
    Type: Application
    Filed: March 21, 2012
    Publication date: January 17, 2013
    Inventor: Kazuhiro TOMIOKA
  • Publication number: 20130015159
    Abstract: The invention relates to an apparatus for treating a surface with a at least one gliding arc source comprising at least one gas flow controlling unit (104); and a set of electrodes (102); wherein the at least one gas flow controlling unit (104) and the set of electrodes (102) are controlled to provide a plasma comprising a gas temperature at the set of electrodes (102) above approximately 2000 K. In this way, an optimal or substantially optimal plasma for treating surfaces of samples is achieved.
    Type: Application
    Filed: December 14, 2010
    Publication date: January 17, 2013
    Applicant: Danmarks Tekniske Universitet
    Inventor: Yukihiro Kusano
  • Publication number: 20130005140
    Abstract: A method for filling a recessed feature of a substrate includes a) at least partially filling a recessed feature of a substrate with tungsten-containing film using at least one of chemical vapor deposition (CVD) and atomic layer deposition (ALD); b) at a predetermined temperature, using an etchant including activated fluorine species to selectively etch the tungsten-containing film more than an underlying material of the recessed feature without removing all of the tungsten-containing film at a bottom of the recessed feature; and c) filling the recessed feature using at least one of CVD and ALD.
    Type: Application
    Filed: June 28, 2012
    Publication date: January 3, 2013
    Applicant: Novellus Systems, Inc.
    Inventors: Esther Jeng, Anand Chandrashekar, Raashina Humayun, Michal Danek, Ronald Powell
  • Publication number: 20120309198
    Abstract: A method for etching features into an etch layer in a plasma processing chamber is provided. An optically timed deposition phase is provided comprising providing a flow of deposition phase gas, detecting the presence of deposition gas within the plasma processing chamber, providing RF energy for forming a plasma from the deposition phase gas in the plasma processing chamber, and stopping the flow of the deposition gas into the plasma processing chamber. An optically timed etching phase is provided, comprising providing a flow of an etch gas, detecting the presence of the etch gas within the plasma processing chamber, providing RF energy for forming a plasma from the etch gas in the plasma processing chamber, and stopping the flow of the etch gas into the plasma processing chamber.
    Type: Application
    Filed: June 6, 2011
    Publication date: December 6, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qing Xu, Camelia Rusu, Brian K. McMillin, Alexander M. Paterson
  • Publication number: 20120305188
    Abstract: It is possible to prevent processing gases from being mixed when alternately supplying the processing gases while alternately switching the processing gases and to suppressed a transient phenomenon more efficiently as compared to conventional cases. When supplying at least two kinds of processing gases (e.g., a C4F6 gas and a C4F8 gas) into a processing chamber while alternately switching the at least two kinds of processing gases during a plasma process on a wafer, the supply of each processing gas can be alternately turned on and off by alternately setting an instruction flow rate of a mass flow controller to be a predetermined flow rate and a zero flow rate while a downstream opening/closing valve provided at a downstream side of the mass flow controller is open.
    Type: Application
    Filed: May 30, 2012
    Publication date: December 6, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiyuki KATO, Norihiko AMIKURA, Risako MIYOSHI, Kimihiro FUKASAWA
  • Publication number: 20120305189
    Abstract: A method for detecting plasma unconfinement in a reaction chamber during a bevel edge cleaning operation is provided. The method initiates with selecting a wavelength associated with expected by products of a bevel edge clean process. The method includes cleaning the bevel edge area of a substrate and monitoring the intensity of the selected wavelengths during the cleaning for deviation from a threshold wavelength intensity. The cleaning is terminated if the deviation from the threshold wavelength intensity exceeds a target deviation.
    Type: Application
    Filed: August 13, 2012
    Publication date: December 6, 2012
    Applicant: LAM RESEARCH CORPORATION
    Inventors: KeeChan Kim, Yunsang Kim, Andrew D. Bailey, III
  • Patent number: 8317921
    Abstract: A single-wafer, chemical vapor deposition reactor is provided with hydrogen and silicon source gas suitable for epitaxial silicon deposition, as well as a safe mixture of oxygen in a non-reactive gas. Methods are provided for forming oxide and silicon layers within the same chamber. In particular, a sacrificial oxidation is performed, followed by a hydrogen bake to sublime the oxide and leave a clean substrate. Epitaxial deposition can follow in situ. A protective oxide can also be formed over the epitaxial layer within the same chamber, preventing contamination of the critical epitaxial layer. Alternatively, the oxide layer can serve as the gate dielectric, and a polysilicon gate layer can be formed in situ over the oxide.
    Type: Grant
    Filed: May 6, 2005
    Date of Patent: November 27, 2012
    Assignee: ASM America, Inc.
    Inventors: Armand Ferro, Ivo Raaijmakers, Derrick Foster
  • Publication number: 20120291953
    Abstract: A gas supply system for supplying a gas into a processing chamber for processing a substrate to be processed includes: a processing gas supply unit; a processing gas supply line; a first and a second processing gas branch line; a branch flow control unit; an additional gas supply unit; an additional gas supply line; a first and a second additional gas branch line; a flow path switching unit; and a control unit. Before processing the substrate to be processed, the control unit performs a pressure ratio control on the branch flow control unit while the processing gas supply unit supplies the processing gas. After the inner pressures of the first and the second processing gas branch line become stable, the control unit switches the pressure ratio control to a fixed pressure control, and then the additional gas supply unit supplies the additional gas.
    Type: Application
    Filed: July 27, 2012
    Publication date: November 22, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kenetsu MIZUSAWA
  • Publication number: 20120292290
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Application
    Filed: August 2, 2012
    Publication date: November 22, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi